⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 hdsdi_pkg.vhd

📁 SDI接口的源程序,包括扰码编码,并串转换,用VHDL硬件描述语言编写
💻 VHD
字号:
-------------------------------------------------------------------------------- 
-- Copyright (c) 2004 Xilinx, Inc. 
-- All Rights Reserved 
-------------------------------------------------------------------------------- 
--   ____  ____ 
--  /   /\/   / 
-- /___/  \  /   Vendor: Xilinx 
-- \   \   \/    Author: John F. Snow, Advanced Product Division, Xilinx, Inc.
--  \   \        Filename: $RCSfile: hdsdi_pkg.vhd,rcs $
--  /   /        Date Last Modified:  $Date: 2005-06-23 13:12:43-06 $
-- /___/   /\    Date Created: May 21, 2004 
-- \   \  /  \ 
--  \___\/\___\ 
-- 
--
-- Revision History: 
-- $Log: hdsdi_pkg.vhd,rcs $
-- Revision 1.3  2005-06-23 13:12:43-06  jsnow
-- Code cleanup.
--
-- Revision 1.2  2005-04-27 15:44:49-06  jsnow
-- Added HD_FMT_720p_50 constant definition.
--
-- Revision 1.1  2004-08-23 13:23:31-06  jsnow
-- Comment changes only.
--
-- Revision 1.0  2004-05-21 15:18:52-06  jsnow
-- Initial Revision
-------------------------------------------------------------------------------- 
--   
--   XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" 
--   AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND 
--   SOLUTIONS FOR XILINX DEVICES.  BY PROVIDING THIS DESIGN, CODE, 
--   OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, 
--   APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION 
--   THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, 
--   AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE 
--   FOR YOUR IMPLEMENTATION.  XILINX EXPRESSLY DISCLAIMS ANY 
--   WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE 
--   IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR 
--   REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF 
--   INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS 
--   FOR A PARTICULAR PURPOSE. 
--
-------------------------------------------------------------------------------- 
-- 
-- This package defines global data types and constants used throughout the
-- Xilinx HD-SDI reference designs.
--
--------------------------------------------------------------------------------


library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;

package hdsdi_pkg is

--------------------------------------------------------------------------------
-- These constants defines the widths of various data types and are used
-- the in following data type definitions.
--
constant HD_HCNT_WIDTH :       integer := 12;  -- width of horz position count
constant HD_VCNT_WIDTH :       integer := 11;  -- width of vert position count

--------------------------------------------------------------------------------
-- Data type definitions
--
subtype hd_video_type      is               -- used for all video-width words
            std_logic_vector(9 downto 0);

subtype hd_vid20_type      is               -- used for all 20-bit video words
            std_logic_vector(19 downto 0);  -- containing both Y & C (Y in MS hslf)

subtype hd_vidstd_type     is               -- 4-bit code used to indicate
            std_logic_vector(3 downto 0);   -- the video standard

subtype hd_hpos_type       is               -- horizontal position type
            std_logic_vector (HD_HCNT_WIDTH - 1 downto 0);

subtype hd_vpos_type       is               -- vertical position type
            std_logic_vector (HD_VCNT_WIDTH - 1 downto 0);

subtype hd_crc18_type      is               -- CRC18 data type
            std_logic_vector(17 downto 0);

--------------------------------------------------------------------------------
-- Constant defintions

--
-- This group of constants defines the encoding for the HD video formats used
-- by the video pattern generators and video format detectors.
--
constant HD_FMT_1035i_30    : hd_vidstd_type := "0000"; -- SMPTE 260M 1035i  30 Hz
constant HD_FMT_1080i_25b   : hd_vidstd_type := "0001"; -- SMPTE 295M 1080i  25 Hz
constant HD_FMT_1080i_30    : hd_vidstd_type := "0010"; -- SMPTE 274M 1080i  30 Hz or 1080sF 30 Hz
constant HD_FMT_1080i_25    : hd_vidstd_type := "0011"; -- SMPTE 274M 1080i  25 Hz or 1080sF 25 Hz
constant HD_FMT_1080p_30    : hd_vidstd_type := "0100"; -- SMPTE 274M 1080p  30 Hz 
constant HD_FMT_1080p_25    : hd_vidstd_type := "0101"; -- SMPTE 274M 1080p  25 Hz
constant HD_FMT_1080p_24    : hd_vidstd_type := "0110"; -- SMPTE 274M 1080p  24 Hz
constant HD_FMT_720p_60     : hd_vidstd_type := "0111"; -- SMPTE 296M  720p  60 Hz
constant HD_FMT_1080sF_24   : hd_vidstd_type := "1000"; -- SMPTE 274M 1080sF 24 Hz
constant HD_FMT_720p_50     : hd_vidstd_type := "1001"; -- SMPTE 296M  720p  50 Hz
constant HD_FMT_RSVD_10     : hd_vidstd_type := "1010"; -- reserved code
constant HD_FMT_RSVD_11     : hd_vidstd_type := "1011"; -- reserved code
constant HD_FMT_RSVD_12     : hd_vidstd_type := "1100"; -- reserved code
constant HD_FMT_RSVD_13     : hd_vidstd_type := "1101"; -- reserved code
constant HD_FMT_RSVD_14     : hd_vidstd_type := "1110"; -- reserved code
constant HD_FMT_RSVD_15     : hd_vidstd_type := "1111"; -- reserved code
     
--
-- This constant should be set equal to the last valid video format in the
-- table above.
--
constant LAST_VIDEO_FORMAT_CODE : hd_vidstd_type := HD_FMT_720p_50;

end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -