⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 spike.vhd

📁 Java Op Processor java vhdl processor
💻 VHD
字号:
----	spike filter with sync in--library ieee ;use ieee.std_logic_1164.all ;use ieee.numeric_std.all ;entity spike isport (	clk		: in std_logic;	reset	: in std_logic;	in_sp	: in std_logic;	out_sp	: out std_logic);end spike;architecture rtl of spike is	signal in_buf	   : std_logic_vector(3 downto 0); -- sync in, filterbegin	process(clk, reset)	begin		if (reset='1') then			in_buf <= "0000";		elsif rising_edge(clk) then			in_buf(0) <= in_sp;			in_buf(3 downto 1) <= in_buf(2 downto 0);		end if;	end process;	with in_buf(3 downto 1) select		out_sp <=			'0' when "000",			'0' when "001",			'0' when "010",			'1' when "011",			'0' when "100",			'1' when "101",			'1' when "110",			'1' when "111",			'X' when others;end architecture rtl;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -