⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 rom256x8.vhd

📁 ju继续上载CPLD的黄金参考源代码
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
LIBRARY lpm;
USE lpm.lpm_components.ALL;
LIBRARY work;
USE work.ram_constants.ALL;
ENTITY rom256x8 IS
   PORT(	memenab: IN STD_LOGIC ;
            address: IN STD_LOGIC_VECTOR (7 DOWNTO 0);
			data: OUT STD_LOGIC_VECTOR (7 DOWNTO 0));

      --we, inclock, outclock: IN STD_LOGIC;
      --q: OUT STD_LOGIC_VECTOR (DATA_WIDTH - 1 DOWNTO 0));
END rom256x8;
ARCHITECTURE example OF rom256x8 IS
signal inclock,outclock:std_logic;
COMPONENT lpm_rom
	GENERIC (LPM_WIDTH: POSITIVE;
		LPM_TYPE: STRING := "LPM_ROM";
		LPM_WIDTHAD: POSITIVE;
		LPM_NUMWORDS: NATURAL := 0;
		LPM_FILE: STRING;
		LPM_ADDRESS_CONTROL: STRING := "REGISTERED";
		LPM_OUTDATA: STRING := "REGISTERED";
		LPM_HINT: STRING := "UNUSED");
	PORT (address: IN STD_LOGIC_VECTOR(LPM_WIDTHAD-1 DOWNTO 0);
		inclock: IN STD_LOGIC := '0';
		outclock: IN STD_LOGIC := '0';
		memenab: IN STD_LOGIC := '1';
		q: OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0));
END COMPONENT;
BEGIN
inclock<='0';
outclock<='0';
inst_1: lpm_rom
      GENERIC MAP (8,"LPM_ROM",8,256,"inst_1.mif","UNUSED","UNUSED","UNUSED")
      PORT MAP ( address , memenab,inclock,outclock,data );
END example;


⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -