⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ioswitch.vhd

📁 < FPGA数字电子系统设计与开发实例导航> 一书的代码
💻 VHD
字号:
---------------------------------------------------------------------------------------------------
--
-- File        : IOSwitch.vhd
-- Create Time : Thu Apr 22 13:21:49 2004
-- Title       : IOSwitch
-- Design      : USB Interface IP Core
-- Author      : Lou Xinghua (louxinghua99@mails.tsinghua.edu.cn)
-- Company     : Department of Engineering Physics in Tsinghua Unversity, Beijing, China
-- Version     : 1.0
--
---------------------------------------------------------------------------------------------------
--
-- Description : 
--
---------------------------------------------------------------------------------------------------

--{{ Section below this comment is automatically maintained
--   and may be overwritten
--{entity {IOSwitch} architecture {IOSwitch}}

library IEEE;
use IEEE.STD_LOGIC_1164.all;


entity IOSwitch is
	port( 	
		data : inout STD_LOGIC_VECTOR(7 downto 0);
		din : in STD_LOGIC_VECTOR(7 downto 0);
		dout : out STD_LOGIC_VECTOR(7 downto 0);
		sel_in_n : in STD_LOGIC;
		sel_out_n : in STD_LOGIC
	    );
end IOSwitch;

--}} End of automatically maintained section

architecture IOSwitch of IOSwitch is   

signal data_tmp : STD_LOGIC_VECTOR(7 downto 0);

begin

	-- enter your statements here -- 
	
	data <= data_tmp;  
	dout <= data;
	process(sel_in_n, sel_out_n, data, din)
	begin
		if sel_out_n = '0' then
			data_tmp <= "ZZZZZZZZ";	
		elsif sel_in_n = '0' then
			data_tmp <= din;
		else  
			data_tmp <= "ZZZZZZZZ";
		end if;
	end process;
	

end IOSwitch;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -