⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 testbench.tf

📁 FPGA-CPLD_DesignTool(example7)
💻 TF
字号:
`timescale 1ns/10ps
module testbench();
reg reset;
reg clk;
wire[31:0] counter;

initial
begin
	reset=1;
	clk=0;
	#5 reset=0;
	#5 reset=1;
	#10000000  $stop;
end

always #50 clk=~clk;

reg GSR; 
assign glbl.GSR = GSR; 
reg GTS; 
assign glbl.GTS = GTS; 

initial begin 
GSR = 1; GTS = 1; 
#100 GSR = 0; GTS = 0; 			   

end

initial begin
$dumpfile("invchn26.vcd"); // Change filename as appropriate. 
$dumpvars(1, testbench.inst); 
end



prescale_counter inst(.reset(reset),.clk(clk),.counter(counter));

endmodule

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -