⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 mcuiface.vhd

📁 汽车四轮定位CCD驱动CPLD源代码
💻 VHD
字号:
library ieee; 
use ieee.std_logic_1164.all;  --引用库
USE ieee.std_logic_arith.ALL;

entity MCUIFace is
    port(data1:out std_logic;       --输出数据1 jumpup place
         data2:out std_logic;       --输出数据2 jumpdown place
         
         PixelA0:in std_logic;        --当前counterc的value,即象素的位置 位:0
         PixelA1:in std_logic;        --当前counterc的value,即象素的位置 位:1
         PixelA2:in std_logic;        --当前counterc的value,即象素的位置 位:2
         PixelA3:in std_logic;        --当前counterc的value,即象素的位置 位:3
         PixelA4:in std_logic;        --当前counterc的value,即象素的位置 位:4
         PixelA5:in std_logic;        --当前counterc的value,即象素的位置 位:5
         PixelA6:in std_logic;        --当前counterc的value,即象素的位置 位:6
         PixelA7:in std_logic;        --当前counterc的value,即象素的位置 位:7
         PixelA8:in std_logic;        --当前counterc的value,即象素的位置 位:8
         PixelA9:in std_logic;        --当前counterc的value,即象素的位置 位:9
         PixelA10:in std_logic;        --当前counterc的value,即象素的位置 位:10
         PixelA11:in std_logic;        --当前counterc的value,即象素的位置 位:11

         PixelB0:in std_logic;        --当前counterc的value,即象素的位置 位:0
         PixelB1:in std_logic;        --当前counterc的value,即象素的位置 位:1
         PixelB2:in std_logic;        --当前counterc的value,即象素的位置 位:2
         PixelB3:in std_logic;        --当前counterc的value,即象素的位置 位:3
         PixelB4:in std_logic;        --当前counterc的value,即象素的位置 位:4
         PixelB5:in std_logic;        --当前counterc的value,即象素的位置 位:5
         PixelB6:in std_logic;        --当前counterc的value,即象素的位置 位:6
         PixelB7:in std_logic;        --当前counterc的value,即象素的位置 位:7
         PixelB8:in std_logic;        --当前counterc的value,即象素的位置 位:8
         PixelB9:in std_logic;        --当前counterc的value,即象素的位置 位:9
         PixelB10:in std_logic;        --当前counterc的value,即象素的位置 位:10
         PixelB11:in std_logic;        --当前counterc的value,即象素的位置 位:11


         reset:in std_logic;
--         ccdin:in std_logic;        --CCD比较后输入信号
         askdata:in std_logic;      --数据请求
         dataclk: in std_logic);    --与MCU接口的data clock
end;

architecture behavioral of MCUIFace is
   signal jumpup:std_logic_vector(11 downto 0);
   signal jumpdown:std_logic_vector(11 downto 0);
   signal I:integer range 0 to 15;
   begin

   



   process(reset,dataclk)
   begin
    if reset='1' then
          I<=0;
 	  data1<='0';
	  data2<='0';
          jumpup   <= "000000000000";
          jumpdown <= "000000000000";

    elsif dataclk'event and dataclk='1' then
	  if askdata='1' then
             data1<=jumpup(I);
	     data2<=jumpdown(I);
          elsif askdata='0' then
             data1<='0';
             data2<='0';
	  end if;
    elsif dataclk'event and dataclk='0' then
          if askdata='1' then
             I<=I+1;
	     if I>11 then
	         I<=0;
	     end if;    
          elsif askdata='0' then
             I<=0;
	  end if;
    end if;
      jumpup(0)<=pixelA0;
      jumpup(1)<=pixelA1;
      jumpup(2)<=pixelA2;
      jumpup(3)<=pixelA3;
      jumpup(4)<=pixelA4;
      jumpup(5)<=pixelA5;
      jumpup(6)<=pixelA6;
      jumpup(7)<=pixelA7;
      jumpup(8)<=pixelA8;
      jumpup(9)<=pixelA9;
      jumpup(10)<=pixelA10;
      jumpup(11)<=pixelA11;


      jumpdown(0)<=pixelB0;
      jumpdown(1)<=pixelB1;
      jumpdown(2)<=pixelB2;
      jumpdown(3)<=pixelB3;
      jumpdown(4)<=pixelB4;
      jumpdown(5)<=pixelB5;
      jumpdown(6)<=pixelB6;
      jumpdown(7)<=pixelB7;
      jumpdown(8)<=pixelB8;
      jumpdown(9)<=pixelB9;
      jumpdown(10)<=pixelB10;
      jumpdown(11)<=pixelB11;

   end process;
      


end behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -