📄 tcd1208_driver.vho
字号:
-- VHDL netlist-file
library mach;
use mach.components.all;
library ieee;
use ieee.std_logic_1164.all;
entity top is
port (
dclk : in std_logic;
askdata : in std_logic;
RS : out std_logic;
clock : in std_logic;
CCDIN : in std_logic;
reset : in std_logic;
O : out std_logic;
gout : out std_logic;
data1 : out std_logic;
data2 : out std_logic;
SH : out std_logic
);
end top;
architecture NetList of top is
signal dclk_PIN : std_logic;
signal askdata_PIN : std_logic;
signal RS_Q : std_logic;
signal clock_PIN : std_logic;
signal CCDIN_PIN : std_logic;
signal reset_PIN : std_logic;
signal O_COM : std_logic;
signal gout_Q : std_logic;
signal data1_Q : std_logic;
signal data2_Q : std_logic;
signal SH_Q : std_logic;
signal C0_GoutDFFRH_Q : std_logic;
signal D0_GoutDFFRH_Q : std_logic;
signal P0_countc_1_Q : std_logic;
signal P0_RSDFFRH_Q : std_logic;
signal P0_countc_0_Q : std_logic;
signal H0_countc_1_Q : std_logic;
signal H0_countc_2_Q : std_logic;
signal H0_countc_3_Q : std_logic;
signal H0_countc_4_Q : std_logic;
signal H0_countc_6_Q : std_logic;
signal H0_countc_8_Q : std_logic;
signal H0_countc_9_Q : std_logic;
signal H0_countc_10_Q : std_logic;
signal H0_jumpdown_0_Q : std_logic;
signal H0_jumpdown_1_Q : std_logic;
signal H0_jumpdown_2_Q : std_logic;
signal H0_jumpdown_3_Q : std_logic;
signal H0_jumpdown_4_Q : std_logic;
signal H0_jumpdown_5_Q : std_logic;
signal H0_jumpdown_6_Q : std_logic;
signal H0_jumpdown_7_Q : std_logic;
signal H0_jumpdown_8_Q : std_logic;
signal H0_jumpdown_9_Q : std_logic;
signal H0_jumpdown_10_Q : std_logic;
signal H0_jumpdown_11_Q : std_logic;
signal H0_countc_5_Q : std_logic;
signal H0_countc_7_Q : std_logic;
signal H0_countc_11_Q : std_logic;
signal H0_jumpup_0_Q : std_logic;
signal H0_jumpup_1_Q : std_logic;
signal H0_jumpup_2_Q : std_logic;
signal H0_jumpup_3_Q : std_logic;
signal H0_jumpup_4_Q : std_logic;
signal H0_jumpup_5_Q : std_logic;
signal H0_jumpup_6_Q : std_logic;
signal H0_jumpup_7_Q : std_logic;
signal H0_jumpup_8_Q : std_logic;
signal H0_jumpup_9_Q : std_logic;
signal H0_jumpup_10_Q : std_logic;
signal H0_jumpup_11_Q : std_logic;
signal H0_i_3_Q : std_logic;
signal H0_i_1_Q : std_logic;
signal H0_i_0_Q : std_logic;
signal H0_countc_0_Q : std_logic;
signal H0_i_2_Q : std_logic;
signal H0_LatchDFFRH_Q : std_logic;
signal T_0 : std_logic;
signal gout_D : std_logic;
signal data1_D : std_logic;
signal data2_D : std_logic;
signal SH_T : std_logic;
signal SH_C : std_logic;
signal C0_GoutDFFRH_D : std_logic;
signal D0_GoutDFFRH_D : std_logic;
signal P0_countc_1_D : std_logic;
signal P0_RSDFFRH_D : std_logic;
signal P0_countc_0_D : std_logic;
signal H0_countc_1_D : std_logic;
signal H0_countc_1_C : std_logic;
signal H0_countc_2_D : std_logic;
signal H0_countc_2_C : std_logic;
signal T_1 : std_logic;
signal H0_countc_3_C : std_logic;
signal H0_countc_4_D_X1 : std_logic;
signal H0_countc_4_C : std_logic;
signal H0_countc_6_T : std_logic;
signal H0_countc_6_C : std_logic;
signal H0_countc_8_T : std_logic;
signal H0_countc_8_C : std_logic;
signal H0_countc_9_T : std_logic;
signal H0_countc_9_C : std_logic;
signal H0_countc_10_T : std_logic;
signal H0_countc_10_C : std_logic;
signal H0_jumpdown_0_D : std_logic;
signal H0_jumpdown_0_C : std_logic;
signal H0_jumpdown_1_D : std_logic;
signal H0_jumpdown_1_C : std_logic;
signal H0_jumpdown_2_D : std_logic;
signal H0_jumpdown_2_C : std_logic;
signal H0_jumpdown_3_D : std_logic;
signal H0_jumpdown_3_C : std_logic;
signal H0_jumpdown_4_D : std_logic;
signal H0_jumpdown_4_C : std_logic;
signal H0_jumpdown_5_D : std_logic;
signal H0_jumpdown_5_C : std_logic;
signal H0_jumpdown_6_D : std_logic;
signal H0_jumpdown_6_C : std_logic;
signal H0_jumpdown_7_D : std_logic;
signal H0_jumpdown_7_C : std_logic;
signal H0_jumpdown_8_D : std_logic;
signal H0_jumpdown_8_C : std_logic;
signal H0_jumpdown_9_D : std_logic;
signal H0_jumpdown_9_C : std_logic;
signal H0_jumpdown_10_D : std_logic;
signal H0_jumpdown_10_C : std_logic;
signal H0_jumpdown_11_D : std_logic;
signal H0_jumpdown_11_C : std_logic;
signal H0_countc_5_T : std_logic;
signal H0_countc_5_C : std_logic;
signal H0_countc_7_T : std_logic;
signal H0_countc_7_C : std_logic;
signal H0_countc_11_T : std_logic;
signal H0_countc_11_C : std_logic;
signal H0_i_3_D : std_logic;
signal H0_i_3_C : std_logic;
signal H0_i_1_D : std_logic;
signal H0_i_1_C : std_logic;
signal H0_i_0_D : std_logic;
signal H0_i_0_C : std_logic;
signal H0_countc_0_D : std_logic;
signal H0_countc_0_C : std_logic;
signal H0_i_2_D : std_logic;
signal H0_i_2_C : std_logic;
signal H0_LatchDFFRH_T : std_logic;
signal H0_LatchDFFRH_C : std_logic;
signal H0_countc_4_D : std_logic;
signal RS_D : std_logic;
signal H0_countc_3_D : std_logic;
signal T_2 : std_logic;
signal T_3 : std_logic;
signal T_4 : std_logic;
signal T_5 : std_logic;
signal T_6 : std_logic;
signal T_7 : std_logic;
signal T_8 : std_logic;
signal T_9 : std_logic;
signal T_10 : std_logic;
signal T_11 : std_logic;
signal T_12 : std_logic;
signal T_13 : std_logic;
signal T_14 : std_logic;
signal T_15 : std_logic;
signal T_16 : std_logic;
signal T_17 : std_logic;
signal T_18 : std_logic;
signal T_19 : std_logic;
signal T_20 : std_logic;
signal T_21 : std_logic;
signal T_22 : std_logic;
signal T_23 : std_logic;
signal T_24 : std_logic;
signal T_25 : std_logic;
signal T_26 : std_logic;
signal T_27 : std_logic;
signal T_28 : std_logic;
signal T_29 : std_logic;
signal T_30 : std_logic;
signal T_31 : std_logic;
signal T_32 : std_logic;
signal T_33 : std_logic;
signal T_34 : std_logic;
signal T_35 : std_logic;
signal T_36 : std_logic;
signal T_37 : std_logic;
signal T_38 : std_logic;
signal T_39 : std_logic;
signal T_40 : std_logic;
signal T_41 : std_logic;
signal T_42 : std_logic;
signal T_43 : std_logic;
signal T_44 : std_logic;
signal T_45 : std_logic;
signal T_46 : std_logic;
signal T_47 : std_logic;
signal T_48 : std_logic;
signal T_49 : std_logic;
signal T_50 : std_logic;
signal T_51 : std_logic;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -