📄 addr.vhd
字号:
--addr (模块)正弦
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity addr is
port(
clk:in std_logic;
dout:out std_logic_vector(5 downto 0)
);
end addr;
architecture behav of addr is
begin
process(clk)
variable count:std_logic_vector(5 downto 0);
begin
wait until clk'event and clk='0';
count:=count+1;
case count is
when "000000" => dout<="000000";
when "000001" => dout<="000001";
when "000010" => dout<="000011";
when "000011" => dout<="000010";
when "000100" => dout<="000110";
when "000101" => dout<="000111";
when "000110" => dout<="000101";
when "000111" => dout<="000100";
when "001000" => dout<="001100";
when "001001" => dout<="001101";
when "001010" => dout<="001111";
when "001011" => dout<="001110";
when "001100" => dout<="001010";
when "001101" => dout<="001011";
when "001110" => dout<="001001";
when "001111" => dout<="001000";
when "010000" => dout<="011000";
when "010001" => dout<="011001";
when "010010" => dout<="011011";
when "010011" => dout<="011010";
when "010100" => dout<="011110";
when "010101" => dout<="011111";
when "010110" => dout<="011101";
when "010111" => dout<="011100";
when "011000" => dout<="010100";
when "011001" => dout<="010101";
when "011010" => dout<="010111";
when "011011" => dout<="010110";
when "011100" => dout<="010010";
when "011101" => dout<="010011";
when "011110" => dout<="010001";
when "011111" => dout<="010000";
when "100000" => dout<="110000";
when "100001" => dout<="110001";
when "100010" => dout<="110011";
when "100011" => dout<="110010";
when "100100" => dout<="110110";
when "100101" => dout<="110111";
when "100110" => dout<="110101";
when "100111" => dout<="110100";
when "101000" => dout<="111100";
when "101001" => dout<="111101";
when "101010" => dout<="111111";
when "101011" => dout<="111110";
when "101100" => dout<="111010";
when "101101" => dout<="111011";
when "101110" => dout<="111001";
when "101111" => dout<="111000";
when "110000" => dout<="101000";
when "110001" => dout<="101001";
when "110010" => dout<="101011";
when "110011" => dout<="101010";
when "110100" => dout<="101110";
when "110101" => dout<="101111";
when "110110" => dout<="101101";
when "110111" => dout<="101100";
when "111000" => dout<="100100";
when "111001" => dout<="100101";
when "111010" => dout<="100111";
when "111011" => dout<="100110";
when "111100" => dout<="100010";
when "111101" => dout<="100011";
when "111110" => dout<="100001";
when "111111" => dout<="100000";
when others =>null;
end case;
end process;
end behav;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -