⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 adder4bit.vhd

📁 CPLDFPGA嵌入式应用开发技术白金手册所配套源代码
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity adder4bit is
   port(cin:in std_logic;
	a,b:in std_logic_vector(3 downto 0);
	s:out std_logic_vector(3 downto 0);
	cout:out std_logic);
end adder4bit;

architecture beh of adder4bit is
    signal sint:std_logic_vector(4 downto 0);
    signal aa,bb:std_logic_vector(4 downto 0);
 begin
    aa<='0' & a(3 downto 0);      --4位加数矢量扩为5位,提供进位空间
    bb<='0' & b(3 downto 0); 
    sint<=aa+bb+cin;
    s(3 downto 0)<=sint(3 downto 0);
    cout<=sint(4);
end beh;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -