⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 latches.vhd

📁 多个Verilog和vhdl程序例子
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;

entity latches is 
        port (data, clk, rst, set : in std_logic;
            -- note: VHDL allows outputs to be read
            -- inside the architecture, only if they are
            -- declared as mode "buffer"
              q, qr, qs, qrs: buffer std_logic);
end latches;

architecture behave of latches is
begin
        -- regular latch (no set or reset)
        q   <=  data when clk = '1' else q;

        -- latch with reset
        qr  <= '0' when rst = '1' else 
                data  when clk = '1' else qr;

        -- latch with set
        qs  <= '1' when set = '1' else
                data  when clk = '1' else qs;

        -- latch with reset and set
        qrs <= '0' when rst = '1' else 
               '1' when set = '1' else
                data  when clk = '1' else qrs;
end behave;





⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -