⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cnt4_din.vhd

📁 基于IR1150的单周期控制PFC的原理与设计 无信号源的自激式激磁电源的设计 基于dsPIC30F2010控制光伏水泵变频器的研究 陀螺供电电源设计
💻 VHD
字号:
--备注:此例是对P111页图5_1的改造。

LIBRARY ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY cnt4_din IS
		PORT(
	        clk	: IN STD_LOGIC;
            din: IN STD_LOGIC_VECTOR(3 downto 0);
            q: OUT STD_LOGIC_VECTOR(3 downto 0));
END cnt4_din;

ARCHITECTURE a OF cnt4_din IS
  signal q1: STD_LOGIC_VECTOR(3 downto 0);
BEGIN
   Pcounter:Process(clk)
	BEGIN
    if clk'event and clk='1' then
    q1<=q1+din;
    end if;
   end Process Pcounter;
    q<=q1;
END a;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -