📄 my_pkg.vhd
字号:
library ieee;use ieee.std_logic_1164.all;package my_pkg is component div_clock port(clk: in std_logic; f50hz: out std_logic; f10hz: out std_logic;-- 5Hz output signal f5hz: out std_logic; f1hz: out std_logic); end component; component scan8 is port (clk : in std_logic; a,b,c,d,e,f,g,h : in std_logic_vector(7 downto 0); pa,pb,pc,pd,pe,pf,pg,ph : out std_logic; mux_out: out std_logic_vector(7 downto 0) );end component;component bin2led is port (bin : in std_logic_vector(3 downto 0); led : out std_logic_vector(7 downto 0) );end component;--component keydown is -- port(keyin :in std_logic; -- clk :in std_logic; --clk1 :in std_logic; -- keyout :out std_logic);--end component;component taxi isport ( f_1,clk_50,f_in,clk:in std_logic; start :in std_logic; waiting:in std_logic; cha3,cha2,cha1,cha0:out std_logic_vector(3 downto 0); km2, km1,km0:out std_logic_vector(3 downto 0); min1,min0: out std_logic_vector(3 downto 0)); end component;end my_pkg;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -