⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 generator_reg6.vhd

📁 FPGA开发光盘各章节实例的设计工程与源码
💻 VHD
字号:


--6位触发器模块generator_reg6.vhd文件
------------------------------------------------------------------------------------
-- DESCRIPTION   :  Flip-flop D type
--                  Width: 6
--                  Clock active: high
--                  Asynchronous clear active: high
--                  Clock enable active: high
-- 
------------------------------------------------------------------------------------

library IEEE;
use IEEE.std_logic_1164.all;

entity generator_reg6 is
	port (
		CLR : in std_logic;
		CE : in std_logic;
		CLK : in std_logic;
		DATA : in std_logic_vector (5 downto 0);
		Q : out std_logic_vector (5 downto 0)
	);
end entity;

architecture reg_arch6 of generator_reg6 is
signal TEMP_Q_0: std_logic_vector (5 downto 0);
begin

	process (CLK, CLR)
	begin

		if CLR = '1' then
			TEMP_Q_0 <= (others => '0');
		elsif rising_edge(CLK) then
			if CE = '1' then
				TEMP_Q_0 <= DATA;
			end if;
		end if;

	end process;

	Q <= TEMP_Q_0;

end architecture;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -