⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 tst_mult.vhd

📁 FPGA开发光盘各章节实例的设计工程与源码
💻 VHD
字号:
--4.11  库(Library)

--每个FPGA生产厂家都提供了针对他们所生产的器件的自定义库,
--如ALTERA公司的LPM(Library of Parametrized Modules)库,
--LPM库包含lpm_components包,其中定义了许多数字电路基本元件,
--如多路选择器,乘法器等。LPM库的调用方法如下例所示:

LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
LIBRARY lpm;--对LPM库的声明。
USE lpm.lpm_components.all;--调用LPM库中的lpm_components包。
ENTITY tst_mult IS
	PORT ( 
			a		: in std_logic_vector(7 downto 0);
			b 		: in std_logic_vector(7 downto 0);
			q_out 	: out std_logic_vector(15 downto 0)
		  );
END tst_mult;

ARCHITECTURE behavior OF tst_mult IS
	--不需要在这里对乘法器元件lpm_mult进行声明,因为调用的是LPM库中的元件。
BEGIN

u1 : lpm_mult --调用LPM库中的乘法器:lpm_mult元件。
	GENERIC MAP (
					lpm_widtha => 8,
					lpm_widthb => 8,
					lpm_widths => 16,
					lpm_widthp => 16
				 ) --注意此处不需要加分号";"。
			PORT MAP(
						dataa => a,
						datab => b,
						result => q_out
					);
END behavior;












⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -