📄 lvds_dcm.vhd
字号:
---------------------------------------------------------------------------------- Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved.---------------------------------------------------------------------------------- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 10.1.02-- \ \ Application : xaw2vhdl-- / / Filename : lvds_dcm.vhd-- /___/ /\ Timestamp : 09/18/2008 08:48:39-- \ \ / \ -- \___\/\___\ ----Command: xaw2vhdl-intstyle H:/Gbit_fpga/LVDS/LVDS_noSerdes/lvds_dcm.xaw -st lvds_dcm.vhd--Design Name: lvds_dcm--Device: xc5vfx100t-1ff1738---- Module lvds_dcm-- Generated by Xilinx Architecture Wizard-- Written for synthesis tool: XST-- Period Jitter (unit interval) for block DCM_ADV_INST = 0.030 UI-- Period Jitter (Peak-to-Peak) for block DCM_ADV_INST = 0.151 nslibrary ieee;use ieee.std_logic_1164.ALL;use ieee.numeric_std.ALL;library UNISIM;use UNISIM.Vcomponents.ALL;entity lvds_dcm is port ( CLKIN_IN : in std_logic; RST_IN : in std_logic; CLKFX_OUT : out std_logic; CLKIN_IBUFG_OUT : out std_logic; CLK0_OUT : out std_logic; CLK2X_OUT : out std_logic; LOCKED_OUT : out std_logic);end lvds_dcm;architecture BEHAVIORAL of lvds_dcm is signal CLKFB_IN : std_logic; signal CLKFX_BUF : std_logic; signal CLKIN_IBUFG : std_logic; signal CLK0_BUF : std_logic; signal CLK_USR_BUF : std_logic; signal CLK2X_BUF : std_logic; signal LOCKED_BUF : std_logic; signal GND_BIT : std_logic; signal GND_BUS_7 : std_logic_vector (6 downto 0); signal GND_BUS_16 : std_logic_vector (15 downto 0);begin GND_BIT <= '0'; GND_BUS_7(6 downto 0) <= "0000000"; GND_BUS_16(15 downto 0) <= "0000000000000000"; CLKIN_IBUFG_OUT <= CLKIN_IBUFG; CLK0_OUT <= CLK_USR_BUF; LOCKED_OUT<= LOCKED_BUF; CLKFX_BUFG_INST : BUFGCE port map (I=>CLKFX_BUF, CE=>LOCKED_BUF, O=>CLKFX_OUT); CLKIN_IBUFG_INST : IBUFG port map (I=>CLKIN_IN, O=>CLKIN_IBUFG); CLK0_BUFG_INST : BUFG port map (I=>CLK0_BUF, O=>CLKFB_IN); CLK_usr_BUFGCE_INST : BUFGCE port map (I=>CLKFB_IN, CE=>LOCKED_BUF, O=>CLK_USR_BUF); CLK2X_BUFG_INST : BUFGCE port map (I=>CLK2X_BUF, CE=>LOCKED_BUF, O=>CLK2X_OUT); DCM_ADV_INST : DCM_ADV generic map( CLK_FEEDBACK => "1X", CLKDV_DIVIDE => 2.0, CLKFX_DIVIDE => 1, CLKFX_MULTIPLY => 4, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.000, CLKOUT_PHASE_SHIFT => "NONE", DCM_AUTOCALIBRATION => TRUE, DCM_PERFORMANCE_MODE => "MAX_SPEED", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "LOW", DUTY_CYCLE_CORRECTION => TRUE, FACTORY_JF => x"F0F0", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE, SIM_DEVICE => "VIRTEX5") port map (CLKFB=>CLKFB_IN, CLKIN=>CLKIN_IBUFG, DADDR(6 downto 0)=>GND_BUS_7(6 downto 0), DCLK=>GND_BIT, DEN=>GND_BIT, DI(15 downto 0)=>GND_BUS_16(15 downto 0), DWE=>GND_BIT, PSCLK=>GND_BIT, PSEN=>GND_BIT, PSINCDEC=>GND_BIT, RST=>RST_IN, CLKDV=>open, CLKFX=>CLKFX_BUF, CLKFX180=>open, CLK0=>CLK0_BUF, CLK2X=>CLK2X_BUF, CLK2X180=>open, CLK90=>open, CLK180=>open, CLK270=>open, DO=>open, DRDY=>open, LOCKED=>LOCKED_BUF, PSDONE=>open); end BEHAVIORAL;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -