📄 tb_ps2key_tb_0.v
字号:
////////////////////////////////////////////////////////////////////////////////
// Copyright (c) 1995-2003 Xilinx, Inc.
// All Right Reserved.
////////////////////////////////////////////////////////////////////////////////
// ____ ____
// / /\/ /
// /___/ \ / Vendor: Xilinx
// \ \ \/ Version : 8.1i
// \ \ Application : ISE
// / / Filename : tb_ps2key.tfw
// /___/ /\ Timestamp : Wed Mar 14 00:00:56 2007
// \ \ / \
// \___\/\___\
//
//Command:
//Design Name: tb_ps2key_tb_0
//Device: Xilinx
//
`timescale 1ns/1ps
module tb_ps2key_tb_0;
reg clk = 1'b0;
reg rst_n = 1'b0;
reg ps2c_in = 1'b0;
reg ps2d_in = 1'b0;
wire txd;
parameter PERIOD = 40;
parameter real DUTY_CYCLE = 0.5;
parameter OFFSET = 0;
initial // Clock process for clk
begin
#OFFSET;
forever
begin
clk = 1'b0;
#(PERIOD-(PERIOD*DUTY_CYCLE)) clk = 1'b1;
#(PERIOD*DUTY_CYCLE);
end
end
ps2key_top UUT (
.clk(clk),
.rst_n(rst_n),
.ps2c_in(ps2c_in),
.ps2d_in(ps2d_in),
.txd(txd));
integer TX_ERROR = 0;
initial begin // Open the results file...
#1.00004e+006 // Final time: 1.00004e+006 ns
if (TX_ERROR == 0) begin
$display("No errors or warnings.");
end else begin
$display("%d errors found in simulation.", TX_ERROR);
end
$stop;
end
initial begin
// ------------- Current Time: 25ns
#25;
CHECK_txd(1'b1);
// -------------------------------------
// ------------- Current Time: 455ns
#430;
ps2c_in = 1'b1;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 1615ns
#1160;
rst_n = 1'b1;
// -------------------------------------
// ------------- Current Time: 7375ns
#5760;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 10575ns
#3200;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 12975ns
#2400;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 13775ns
#800;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 15375ns
#1600;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 18495ns
#3120;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 21015ns
#2520;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 21055ns
#40;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 24255ns
#3200;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 27175ns
#2920;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 29615ns
#2440;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 30975ns
#1360;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 33495ns
#2520;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 35975ns
#2480;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 38255ns
#2280;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 40735ns
#2480;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 44135ns
#3400;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 46055ns
#1920;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 47815ns
#1760;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 49575ns
#1760;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 54015ns
#4440;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 61655ns
#7640;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 68255ns
#6600;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 79735ns
#11480;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 88215ns
#8480;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 91905ns
#3690;
CHECK_txd(1'b0);
// -------------------------------------
// ------------- Current Time: 95695ns
#3790;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 101535ns
#5840;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 111775ns
#10240;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 119815ns
#8040;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 123295ns
#3480;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 124775ns
#1480;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 128105ns
#3330;
CHECK_txd(1'b1);
// -------------------------------------
// ------------- Current Time: 130095ns
#1990;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 140895ns
#10800;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 142975ns
#2080;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 153175ns
#10200;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 159255ns
#6080;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 170335ns
#11080;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 177495ns
#7160;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 182505ns
#5010;
CHECK_txd(1'b0);
// -------------------------------------
// ------------- Current Time: 188815ns
#6310;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 197415ns
#8600;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 200705ns
#3290;
CHECK_txd(1'b1);
// -------------------------------------
// ------------- Current Time: 207535ns
#6830;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 218905ns
#11370;
CHECK_txd(1'b0);
// -------------------------------------
// ------------- Current Time: 220775ns
#1870;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 226175ns
#5400;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 231455ns
#5280;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 235815ns
#4360;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 242375ns
#6560;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 255105ns
#12730;
CHECK_txd(1'b1);
// -------------------------------------
// ------------- Current Time: 259615ns
#4510;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 270415ns
#10800;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 277135ns
#6720;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 291975ns
#14840;
ps2c_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 302055ns
#10080;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 310815ns
#8760;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 318575ns
#7760;
ps2c_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 333695ns
#15120;
ps2d_in = 1'b1;
// -------------------------------------
// ------------- Current Time: 343135ns
#9440;
ps2d_in = 1'b0;
// -------------------------------------
// ------------- Current Time: 376135ns
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -