⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 fangbo.vhd

📁 《CPLDFPGA嵌入式应用开发技术白金手册》源代码
💻 VHD
字号:
--fangbo 模块
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity fangbo is
port(
      clk   :in std_logic;
      dout  : out std_logic
     );
end fangbo;
architecture behav of fangbo is
begin
      process(clk)
      variable count: std_logic_vector(4 downto 0);
      variable flag: boolean;
      begin
            if clk'event and clk='0' then
                    count:=count+1;
                    if count="11111" then
                       flag:= not flag;
                    end if;
                    case flag is
                    when false=>dout<='1';
                    when true=>dout<='0';
                    end case;
            end if;
      end process;
end behav;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -