📄 _info
字号:
M4 work altera_device_familiesM3 std textioM2 work mf_pllpackM1 ieee std_logic_unsignedo-93 -work altera_mftExplicit 1Ealtmult_accumw1177912352DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L16439VIKkE<EY_z;UK19oLT_ZXE3OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviourDP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altmult_accum IKkE<EY_z;UK19oLT_ZXE3l16676L16562V<XFDFhnl9HmfWGAgP3R2S3OE;C;6.2g;3531M3 ieee std_logic_1164M2 ieee std_logic_arithM1 work altera_device_familieso-93 -work altera_mftExplicit 1Ealtmult_addw1177912352DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L18841VIc;Z@zKm6]?cfAehRI]jO3OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviourDP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altmult_add Ic;Z@zKm6]?cfAehRI]jO3l19496L19127VB^nD:?I:S[hkVPa29mME43OE;C;6.2g;3531M3 ieee std_logic_1164M2 ieee std_logic_arithM1 work altera_device_familieso-93 -work altera_mftExplicit 1Ealtparallel_flash_loaderw1177912352DP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L47814VMVTHC?XolI3DQ`dcW>4UR0OE;C;6.2g;3531o-93 -work altera_mftExplicit 1Asim_altparallel_flash_loaderDP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altparallel_flash_loader MVTHC?XolI3DQ`dcW>4UR0l47859L47858VTzV9^gP>YgENB3ZohNXEE2OE;C;6.2g;3531M2 ieee std_logic_1164M1 work altera_mf_componentso-93 -work altera_mftExplicit 1Ealtpllw1177912352DE work pll_iobuf 0_mzOg]ISOE^<?8bXS^4j1DE work mf_cycloneiii_pll i6MM]Iza1g[n^?581bljb0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DE work mf_stratixiii_pll Ta983^>ZiZd@>0BW7i;]63DE work mf_stratixii_pll h1IN8m^?<8?S03IYXcJH40DP work mf_pllpack k=E>JF5PUnm9A77[2ZEIM2DP std textio K]Z^fghZ6B=BjnK5NomDT3DE work mf_stratix_pll 0cMhIk?fzf?z8:<4Am@Fd1DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L13500V8nbDMcHb@E^GZV4HLb@1=0OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP work mf_pllpack k=E>JF5PUnm9A77[2ZEIM2DP std textio K]Z^fghZ6B=BjnK5NomDT3DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altpll 8nbDMcHb@E^GZV4HLb@1=0l14957L13920VAoGiQi2Q3KhfQkTSP<H8B2OE;C;6.2g;3531M6 ieee std_logic_1164M5 work altera_device_familiesM4 std textioM3 work mf_pllpackM2 ieee std_logic_arithM1 ieee std_logic_unsignedo-93 -work altera_mftExplicit 1Ealtqpramw1177912352DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP std textio K]Z^fghZ6B=BjnK5NomDT3DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L40915VQA^<R_zDd0;Zk[fgZ^zPA0OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP std textio K]Z^fghZ6B=BjnK5NomDT3DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altqpram QA^<R_zDd0;Zk[fgZ^zPA0l41079L41001VVnJ@JjNP>bedXADTYOW=Z0OE;C;6.2g;3531M5 ieee std_logic_1164M4 ieee std_logic_arithM3 std textioM2 work altera_common_conversionM1 ieee std_logic_unsignedo-93 -work altera_mftExplicit 1Ealtserial_flash_loaderw1177912352DP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L47867Va^EXiiSg;=;U=j>D2PK>b2OE;C;6.2g;3531o-93 -work altera_mftExplicit 1Asim_altserial_flash_loaderDP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altserial_flash_loader a^EXiiSg;=;U=j>D2PK>b2l47884L47883VY@zY7GnO7TL0b_f@lO2Mn3OE;C;6.2g;3531M2 ieee std_logic_1164M1 work altera_mf_componentso-93 -work altera_mftExplicit 1Ealtshift_tapsw1177912352DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L45899V7EhTFzlWBDjG=A?CC8eh:1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviouralDP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altshift_taps 7EhTFzlWBDjG=A?CC8eh:1l45943L45929V_13LV48DZ9Pe0n@D0gBn41OE;C;6.2g;3531M1 ieee std_logic_1164o-93 -work altera_mftExplicit 1Ealtsqrtw1177912352DP std textio K]Z^fghZ6B=BjnK5NomDT3DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L26340V1<[d7fX0UQY6oAz?65GQ=2OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP std textio K]Z^fghZ6B=BjnK5NomDT3DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altsqrt 1<[d7fX0UQY6oAz?65GQ=2l26399L26383VEinU>L0gjchWLc:HJmS<Y1OE;C;6.2g;3531M4 ieee std_logic_1164M3 ieee std_logic_arithM2 work altera_common_conversionM1 std textioo-93 -work altera_mftExplicit 1Ealtsquarew1177912352DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L46109Va6HzT>g0BbWFiZooMQ8l23OE;C;6.2g;3531o-93 -work altera_mftExplicit 1Aaltsquare_synDP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altsquare a6HzT>g0BbWFiZooMQ8l23l46142L46133V4leR`[_[c3GmX1MRm2FO73OE;C;6.2g;3531M2 ieee std_logic_1164M1 ieee std_logic_aritho-93 -work altera_mftExplicit 1Ealtstratixii_octw1177912352DP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L47793VVI]M>hj9ZSF1jcO_@I`<F3OE;C;6.2g;3531o-93 -work altera_mftExplicit 1Asim_altstratixii_octDP work altera_mf_components >`df`gCoG57E0k0AANF2d1DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altstratixii_oct VI]M>hj9ZSF1jcO_@I`<F3l47806L47805VPmMJe@UDML1:3cS0R6lUX3OE;C;6.2g;3531M2 ieee std_logic_1164M1 work altera_mf_componentso-93 -work altera_mftExplicit 1Ealtsyncramw1177912352DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP std textio K]Z^fghZ6B=BjnK5NomDT3DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L37398V`bVKLG]dRV6XJNO>K@YjF1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AtranslatedDP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP work altera_common_conversion 1z1[<[Sm_`mdT3n`RoabX0DP std textio K]Z^fghZ6B=BjnK5NomDT3DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work altsyncram `bVKLG]dRV6XJNO>K@YjF1l38028L37739Vg`NU>[aRNMLD;`XEJT5_61OE;C;6.2g;3531M5 ieee std_logic_1164M4 ieee std_logic_arithM3 std textioM2 work altera_common_conversionM1 work altera_device_familieso-93 -work altera_mftExplicit 1Earm_m_cntrw1177912352DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L5105Vm6<`iB^YQ1M57KF9c^UOj3OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaveDP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work arm_m_cntr m6<`iB^YQ1M57KF9c^UOj3l5116L5115VHSPld4fSJ_<?HaW@4:Efa1OE;C;6.2g;3531M1 ieee std_logic_1164o-93 -work altera_mftExplicit 1Earm_n_cntrw1177912352DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L5156VUWZ110n<km?LQ8RdZ<]<=0OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaveDP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work arm_n_cntr UWZ110n<km?LQ8RdZ<]<=0l5167L5166VY]X9QSBzk1^]FK^`TZDYE1OE;C;6.2g;3531M1 ieee std_logic_1164o-93 -work altera_mftExplicit 1Earm_scale_cntrw1177912352DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L5214VM_S?oiKDB;df4HM[QI7gG1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaveDP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work arm_scale_cntr M_S?oiKDB;df4HM[QI7gG1l5227L5226Vc`JkU232@8lQA7IN<J0KT3OE;C;6.2g;3531M1 ieee std_logic_1164o-93 -work altera_mftExplicit 1Edcfifow1177912352DP work dcfifo_pack nM1:dfoDa8bXHm_RMo;:f1DP work altera_mf_hint_evaluation XT9P79dm8iXCJ=Ze66U342DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DE work dcfifo_mixed_widths j]c[hcgOOgG1;^`TU4Z_P2DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L45729VWaFM:KScYoCn8n<>92ShY1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP work dcfifo_pack nM1:dfoDa8bXHm_RMo;:f1DP work altera_mf_hint_evaluation XT9P79dm8iXCJ=Ze66U342DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work dcfifo WaFM:KScYoCn8n<>92ShY1l45827L45775VBKdcHFhRPLI=lj40h_K7T2OE;C;6.2g;3531M6 ieee std_logic_1164M5 ieee std_logic_arithM4 ieee std_logic_unsignedM3 work altera_device_familiesM2 work altera_mf_hint_evaluationM1 work dcfifo_packo-93 -work altera_mftExplicit 1Edcfifo_asyncw1177912352DE work dcfifo_dffpipe 6?OY^FY>WYdDQbUJNBASI1DE work dcfifo_fefifo SYaVgDcW`OV@`Pf:ze>031DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L43760V[h53DfYT67`d251_:]:O`1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work dcfifo_async [h53DfYT67`d251_:]:O`1l43878L43800V2SUL@Uf2V_eMGPdcE65lk3OE;C;6.2g;3531M4 ieee std_logic_1164M3 ieee std_logic_arithM2 ieee std_logic_unsignedM1 work altera_device_familieso-93 -work altera_mftExplicit 1Edcfifo_dffpipew1177912352DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L43480V6?OY^FY>WYdDQbUJNBASI1OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work dcfifo_dffpipe 6?OY^FY>WYdDQbUJNBASI1l43504L43498VI2COIe1S6^`AGhoCb[BX[3OE;C;6.2g;3531M3 ieee std_logic_1164M2 ieee std_logic_arithM1 ieee std_logic_unsignedo-93 -work altera_mftExplicit 1Edcfifo_fefifow1177912352DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf28c:/altera/71/quartus/eda/sim_lib/altera_mf.vhdFc:/altera/71/quartus/eda/sim_lib/altera_mf.vhdl0L43566VSYaVgDcW`OV@`Pf:ze>031OE;C;6.2g;3531o-93 -work altera_mftExplicit 1AbehaviorDP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2DE work dcfifo_fefifo SYaVgDcW`OV@`Pf:ze>031l43596L43590V^?oL<NWod8b[[9hd<YNjo3OE;C;6.2g;3531M3 ieee std_logic_1164M2 ieee std_logic_arithM1 ieee std_logic_unsignedo-93 -work altera_mftExplicit 1Edcfifo_low_latencyw1177912352DP work altera_mf_hint_evaluation XT9P79dm8iXCJ=Ze66U342DE work dcfifo_dffpipe 6?OY^FY>WYdDQbUJNBASI1DP work altera_device_families AZWbH1Lmb8bTmdC?7SZdc0DP ieee std_logic_unsigned hEMVMlaNCR^<OOoVNV;m90DP ieee std_logic_arith GJbAT?7@hRQU9IQ702DT]2DP ieee std_logic_1164 GH1=`jDDBJ=`LM;:Ak`kf2
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -