📄 ffti_nativelink_simulation.rpt
字号:
ModelSim Info: # -- Compiling entity altcdr_tx
ModelSim Info: # -- Compiling architecture struct of altcdr_tx
ModelSim Info: # -- Compiling entity stratixii_lvds_rx
ModelSim Info: # -- Compiling architecture behavior of stratixii_lvds_rx
ModelSim Info: # -- Compiling entity flexible_lvds_rx
ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_rx
ModelSim Info: # -- Compiling entity stratixiii_lvds_rx
ModelSim Info: # -- Compiling architecture behavior of stratixiii_lvds_rx
ModelSim Info: # -- Loading entity altclklock
ModelSim Info: # -- Loading entity stratixii_lvds_rx
ModelSim Info: # -- Loading entity flexible_lvds_rx
ModelSim Info: # -- Loading entity stratixiii_lvds_rx
ModelSim Info: # -- Compiling entity altlvds_rx
ModelSim Info: # -- Compiling architecture behavior of altlvds_rx
ModelSim Info: # -- Compiling entity stratix_tx_outclk
ModelSim Info: # -- Compiling architecture behavior of stratix_tx_outclk
ModelSim Info: # -- Compiling entity stratixii_tx_outclk
ModelSim Info: # -- Compiling architecture behavior of stratixii_tx_outclk
ModelSim Info: # -- Compiling entity flexible_lvds_tx
ModelSim Info: # -- Compiling architecture behavior of flexible_lvds_tx
ModelSim Info: # -- Loading entity stratix_tx_outclk
ModelSim Info: # -- Loading entity stratixii_tx_outclk
ModelSim Info: # -- Loading entity flexible_lvds_tx
ModelSim Info: # -- Compiling entity altlvds_tx
ModelSim Info: # -- Compiling architecture behavior of altlvds_tx
ModelSim Info: # -- Compiling entity altcam
ModelSim Info: # -- Compiling architecture behave of altcam
ModelSim Info: # -- Compiling entity altdpram
ModelSim Info: # -- Compiling architecture behavior of altdpram
ModelSim Info: # -- Compiling entity altsyncram
ModelSim Info: # -- Compiling architecture translated of altsyncram
ModelSim Info: # -- Loading entity altsyncram
ModelSim Info: # -- Compiling entity alt3pram
ModelSim Info: # -- Compiling architecture behavior of alt3pram
ModelSim Info: # -- Compiling entity altqpram
ModelSim Info: # -- Compiling architecture behavior of altqpram
ModelSim Info: # -- Loading package altera_mf_components
ModelSim Info: # -- Compiling entity parallel_add
ModelSim Info: # -- Compiling architecture behaviour of parallel_add
ModelSim Info: # -- Compiling entity scfifo
ModelSim Info: # -- Compiling architecture behavior of scfifo
ModelSim Info: # -- Compiling package dcfifo_pack
ModelSim Info: # -- Compiling package body dcfifo_pack
ModelSim Info: # -- Loading package dcfifo_pack
ModelSim Info: # -- Compiling entity dcfifo_dffpipe
ModelSim Info: # -- Compiling architecture behavior of dcfifo_dffpipe
ModelSim Info: # -- Compiling entity dcfifo_fefifo
ModelSim Info: # -- Compiling architecture behavior of dcfifo_fefifo
ModelSim Info: # -- Loading entity dcfifo_fefifo
ModelSim Info: # -- Loading entity dcfifo_dffpipe
ModelSim Info: # -- Compiling entity dcfifo_async
ModelSim Info: # -- Compiling architecture behavior of dcfifo_async
ModelSim Info: # -- Compiling entity dcfifo_sync
ModelSim Info: # -- Compiling architecture behavior of dcfifo_sync
ModelSim Info: # -- Loading package altera_mf_hint_evaluation
ModelSim Info: # -- Compiling entity dcfifo_low_latency
ModelSim Info: # -- Compiling architecture behavior of dcfifo_low_latency
ModelSim Info: # -- Loading entity dcfifo_async
ModelSim Info: # -- Loading entity dcfifo_sync
ModelSim Info: # -- Loading entity dcfifo_low_latency
ModelSim Info: # -- Loading package dcfifo_pack
ModelSim Info: # -- Compiling entity dcfifo_mixed_widths
ModelSim Info: # -- Compiling architecture behavior of dcfifo_mixed_widths
ModelSim Info: # -- Loading entity dcfifo_mixed_widths
ModelSim Info: # -- Compiling entity dcfifo
ModelSim Info: # -- Compiling architecture behavior of dcfifo
ModelSim Info: # -- Compiling entity altshift_taps
ModelSim Info: # -- Compiling architecture behavioural of altshift_taps
ModelSim Info: # -- Compiling entity a_graycounter
ModelSim Info: # -- Compiling architecture behavior of a_graycounter
ModelSim Info: # -- Compiling entity altsquare
ModelSim Info: # -- Compiling architecture altsquare_syn of altsquare
ModelSim Info: # -- Compiling package sld_node
ModelSim Info: # -- Compiling package body sld_node
ModelSim Info: # -- Loading package sld_node
ModelSim Info: # -- Loading package sld_node
ModelSim Info: # -- Compiling entity signal_gen
ModelSim Info: # -- Compiling architecture simmodel of signal_gen
ModelSim Info: # -- Compiling entity jtag_tap_controller
ModelSim Info: # -- Compiling architecture fsm of jtag_tap_controller
ModelSim Info: # -- Compiling entity dummy_hub
ModelSim Info: # -- Compiling architecture behavior of dummy_hub
ModelSim Info: # -- Loading entity signal_gen
ModelSim Info: # -- Loading entity jtag_tap_controller
ModelSim Info: # -- Loading entity dummy_hub
ModelSim Info: # -- Compiling entity sld_virtual_jtag
ModelSim Info: # -- Compiling architecture structural of sld_virtual_jtag
ModelSim Info: # -- Compiling entity sld_signaltap
ModelSim Info: # -- Compiling architecture sim_sld_signaltap of sld_signaltap
ModelSim Info: # -- Compiling entity altstratixii_oct
ModelSim Info: # -- Compiling architecture sim_altstratixii_oct of altstratixii_oct
ModelSim Info: # -- Compiling entity altparallel_flash_loader
ModelSim Info: # -- Compiling architecture sim_altparallel_flash_loader of altparallel_flash_loader
ModelSim Info: # -- Compiling entity altserial_flash_loader
ModelSim Info: # -- Compiling architecture sim_altserial_flash_loader of altserial_flash_loader
ModelSim Info: #
ModelSim Info: # vlib vhdl_libs/sgate
ModelSim Warning: # ** Warning: (vlib-34) Library already exists at "vhdl_libs/sgate".
ModelSim Info: # vmap sgate vhdl_libs/sgate
ModelSim Info: # Modifying modelsim.ini
ModelSim Info: # vcom -93 -work sgate {c:/altera/71/quartus/eda/sim_lib/sgate_pack.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Compiling package sgate_pack
ModelSim Info: # -- Compiling package body sgate_pack
ModelSim Info: # -- Loading package sgate_pack
ModelSim Info: # vcom -93 -work sgate {c:/altera/71/quartus/eda/sim_lib/sgate.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Loading package std_logic_arith
ModelSim Info: # -- Loading package std_logic_signed
ModelSim Info: # -- Compiling entity oper_add
ModelSim Info: # -- Compiling architecture sim_arch of oper_add
ModelSim Info: # -- Compiling entity oper_addsub
ModelSim Info: # -- Compiling architecture sim_arch of oper_addsub
ModelSim Info: # -- Compiling entity mux21
ModelSim Info: # -- Compiling architecture sim_arch of mux21
ModelSim Info: # -- Compiling entity io_buf_tri
ModelSim Info: # -- Compiling architecture sim_arch of io_buf_tri
ModelSim Info: # -- Compiling entity io_buf_opdrn
ModelSim Info: # -- Compiling architecture sim_arch of io_buf_opdrn
ModelSim Info: # -- Compiling entity tri_bus
ModelSim Info: # -- Compiling architecture sim_arch of tri_bus
ModelSim Info: # -- Compiling entity oper_mult
ModelSim Info: # -- Compiling architecture sim_arch of oper_mult
ModelSim Info: # -- Loading package lpm_components
ModelSim Info: # -- Compiling entity oper_div
ModelSim Info: # -- Compiling architecture sim_arch of oper_div
ModelSim Info: # -- Compiling entity oper_mod
ModelSim Info: # -- Compiling architecture sim_arch of oper_mod
ModelSim Info: # -- Loading package std_logic_unsigned
ModelSim Info: # -- Compiling entity oper_left_shift
ModelSim Info: # -- Compiling architecture sim_arch of oper_left_shift
ModelSim Info: # -- Compiling entity oper_right_shift
ModelSim Info: # -- Compiling architecture sim_arch of oper_right_shift
ModelSim Info: # -- Compiling entity oper_rotate_left
ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_left
ModelSim Info: # -- Compiling entity oper_rotate_right
ModelSim Info: # -- Compiling architecture sim_arch of oper_rotate_right
ModelSim Info: # -- Compiling entity oper_less_than
ModelSim Info: # -- Compiling architecture sim_arch of oper_less_than
ModelSim Info: # -- Loading package sgate_pack
ModelSim Info: # -- Compiling entity oper_mux
ModelSim Info: # -- Compiling architecture sim_arch of oper_mux
ModelSim Info: # -- Compiling entity oper_selector
ModelSim Info: # -- Compiling architecture sim_arch of oper_selector
ModelSim Info: # -- Compiling entity oper_prio_selector
ModelSim Info: # -- Compiling architecture sim_arch of oper_prio_selector
ModelSim Info: # -- Compiling entity oper_decoder
ModelSim Info: # -- Compiling architecture sim_arch of oper_decoder
ModelSim Info: # -- Compiling entity oper_bus_mux
ModelSim Info: # -- Compiling architecture sim_arch of oper_bus_mux
ModelSim Info: # -- Compiling entity oper_latch
ModelSim Info: # -- Compiling architecture sim_arch of oper_latch
ModelSim Info: #
ModelSim Info: # vlib vhdl_libs/cyclone
ModelSim Warning: # ** Warning: (vlib-34) Library already exists at "vhdl_libs/cyclone".
ModelSim Info: # vmap cyclone vhdl_libs/cyclone
ModelSim Info: # Modifying modelsim.ini
ModelSim Info: # vcom -93 -work cyclone {c:/altera/71/quartus/eda/sim_lib/cyclone_atoms.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Loading package vital_timing
ModelSim Info: # -- Loading package vital_primitives
ModelSim Info: # -- Compiling package cyclone_atom_pack
ModelSim Info: # -- Compiling package body cyclone_atom_pack
ModelSim Info: # -- Loading package cyclone_atom_pack
ModelSim Info: # -- Compiling package cyclone_pllpack
ModelSim Info: # -- Compiling package body cyclone_pllpack
ModelSim Info: # -- Loading package cyclone_pllpack
ModelSim Info: # -- Loading package cyclone_atom_pack
ModelSim Info: # -- Compiling entity cyclone_dffe
ModelSim Info: # -- Compiling architecture behave of cyclone_dffe
ModelSim Info: # -- Compiling entity cyclone_mux21
ModelSim Info: # -- Compiling architecture altvital of cyclone_mux21
ModelSim Info: # -- Compiling entity cyclone_mux41
ModelSim Info: # -- Compiling architecture altvital of cyclone_mux41
ModelSim Info: # -- Compiling entity cyclone_and1
ModelSim Info: # -- Compiling architecture altvital of cyclone_and1
Info: NativeLink simulation flow was successful
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -