⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 ffti_nativelink_simulation.rpt

📁 电子设计大赛作品_音频信号分析仪的FPGA源码(一等奖)
💻 RPT
📖 第 1 页 / 共 3 页
字号:
Info: Start Nativelink Simulation process
Info: NativeLink has detected VHDL design -- VHDL simulation models will be used

========= EDA Simulation Settings =====================

Sim Mode              :  RTL
Family                :  cyclone
Quartus root          :  c:/altera/71/quartus/bin/
Quartus sim root      :  c:/altera/71/quartus/eda/sim_lib
Simulation Tool       :  modelsim
Simulation Language   :  vhdl
Version               :  93
Simulation Mode       :  GUI
Sim Output File       :  ffti.vho
Sim SDF file          :  ffti__vhdl.sdo
Sim dir               :  simulation\modelsim

=======================================================

Info: Starting NativeLink simulation with ModelSim software
Sourced NativeLink script c:/altera/71/quartus/common/tcl/internal/nativelink/modelsim.tcl
Warning: File ffti_run_msim_rtl_vhdl.do already exists - backing up current file as ffti_run_msim_rtl_vhdl.do.bak1
Probing transcript
ModelSim Info: # Reading C:/Modeltech_6.2g/tcl/vsim/pref.tcl 
ModelSim Info: # //  ModelSim SE 6.2g Feb 21 2007 
ModelSim Info: # //
ModelSim Info: # //  Copyright 1991-2007 Mentor Graphics Corporation
ModelSim Info: # //              All Rights Reserved.
ModelSim Info: # //
ModelSim Info: # //  THIS WORK CONTAINS TRADE SECRET AND 
ModelSim Info: # //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
ModelSim Info: # //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
ModelSim Info: # //  AND IS SUBJECT TO LICENSE TERMS.
ModelSim Info: # //
ModelSim Info: # do ffti_run_msim_rtl_vhdl.do 
ModelSim Info: # if ![file isdirectory vhdl_libs] {
ModelSim Info: # 	file mkdir vhdl_libs
ModelSim Info: # }
ModelSim Info: # 
ModelSim Info: # vlib vhdl_libs/lpm
ModelSim Warning: # ** Warning: (vlib-34) Library already exists at "vhdl_libs/lpm".
ModelSim Info: # vmap lpm vhdl_libs/lpm
ModelSim Info: # Copying C:\Modeltech_6.2g\win32/../modelsim.ini to modelsim.ini
ModelSim Info: # Modifying modelsim.ini
ModelSim Warning: # ** Warning: Copied C:\Modeltech_6.2g\win32/../modelsim.ini to modelsim.ini.
ModelSim Info: #          Updated modelsim.ini.
ModelSim Info: # vcom -93 -work lpm {c:/altera/71/quartus/eda/sim_lib/220pack.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Compiling package lpm_components
ModelSim Info: # vcom -93 -work lpm {c:/altera/71/quartus/eda/sim_lib/220model.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Loading package textio
ModelSim Info: # -- Compiling package lpm_common_conversion
ModelSim Info: # -- Compiling package body lpm_common_conversion
ModelSim Info: # -- Loading package lpm_common_conversion
ModelSim Info: # -- Compiling package lpm_hint_evaluation
ModelSim Info: # -- Compiling package body lpm_hint_evaluation
ModelSim Info: # -- Loading package lpm_hint_evaluation
ModelSim Info: # -- Compiling package lpm_device_families
ModelSim Info: # -- Compiling package body lpm_device_families
ModelSim Info: # -- Loading package lpm_device_families
ModelSim Info: # -- Loading package std_logic_arith
ModelSim Info: # -- Loading package std_logic_unsigned
ModelSim Info: # -- Loading package lpm_components
ModelSim Info: # -- Compiling entity lpm_constant
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_constant
ModelSim Info: # -- Compiling entity lpm_inv
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inv
ModelSim Info: # -- Compiling entity lpm_and
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_and
ModelSim Info: # -- Compiling entity lpm_or
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_or
ModelSim Info: # -- Compiling entity lpm_xor
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_xor
ModelSim Info: # -- Compiling entity lpm_bustri
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bustri
ModelSim Info: # -- Compiling entity lpm_mux
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mux
ModelSim Info: # -- Compiling entity lpm_decode
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_decode
ModelSim Info: # -- Compiling entity lpm_clshift
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_clshift
ModelSim Info: # -- Loading package std_logic_signed
ModelSim Info: # -- Compiling entity lpm_add_sub_signed
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_signed
ModelSim Info: # -- Compiling entity lpm_add_sub_unsigned
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub_unsigned
ModelSim Info: # -- Loading entity lpm_add_sub_signed
ModelSim Info: # -- Loading entity lpm_add_sub_unsigned
ModelSim Info: # -- Compiling entity lpm_add_sub
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_add_sub
ModelSim Info: # -- Compiling entity lpm_compare_signed
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_signed
ModelSim Info: # -- Compiling entity lpm_compare_unsigned
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare_unsigned
ModelSim Info: # -- Loading entity lpm_compare_signed
ModelSim Info: # -- Loading entity lpm_compare_unsigned
ModelSim Info: # -- Compiling entity lpm_compare
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_compare
ModelSim Info: # -- Loading package lpm_hint_evaluation
ModelSim Info: # -- Compiling entity lpm_mult
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_mult
ModelSim Info: # -- Compiling entity lpm_divide
ModelSim Info: # -- Compiling architecture behave of lpm_divide
ModelSim Info: # -- Compiling entity lpm_abs
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_abs
ModelSim Info: # -- Loading package lpm_common_conversion
ModelSim Info: # -- Compiling entity lpm_counter
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_counter
ModelSim Info: # -- Compiling entity lpm_latch
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_latch
ModelSim Info: # -- Compiling entity lpm_ff
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ff
ModelSim Info: # -- Compiling entity lpm_shiftreg
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_shiftreg
ModelSim Info: # -- Loading package lpm_device_families
ModelSim Info: # -- Compiling entity lpm_ram_dq
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dq
ModelSim Info: # -- Compiling entity lpm_ram_dp
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_dp
ModelSim Info: # -- Compiling entity lpm_ram_io
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_ram_io
ModelSim Info: # -- Compiling entity lpm_rom
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_rom
ModelSim Info: # -- Compiling entity lpm_fifo
ModelSim Info: # -- Compiling architecture behavior of lpm_fifo
ModelSim Info: # -- Compiling entity lpm_fifo_dc_dffpipe
ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_dffpipe
ModelSim Info: # -- Compiling entity lpm_fifo_dc_fefifo
ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_fefifo
ModelSim Info: # -- Loading entity lpm_fifo_dc_fefifo
ModelSim Info: # -- Loading entity lpm_fifo_dc_dffpipe
ModelSim Info: # -- Compiling entity lpm_fifo_dc_async
ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc_async
ModelSim Info: # -- Loading entity lpm_fifo_dc_async
ModelSim Info: # -- Compiling entity lpm_fifo_dc
ModelSim Info: # -- Compiling architecture behavior of lpm_fifo_dc
ModelSim Info: # -- Compiling entity lpm_inpad
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_inpad
ModelSim Info: # -- Compiling entity lpm_outpad
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_outpad
ModelSim Info: # -- Compiling entity lpm_bipad
ModelSim Info: # -- Compiling architecture lpm_syn of lpm_bipad
ModelSim Info: # 
ModelSim Info: # vlib vhdl_libs/altera
ModelSim Warning: # ** Warning: (vlib-34) Library already exists at "vhdl_libs/altera".
ModelSim Info: # vmap altera vhdl_libs/altera
ModelSim Info: # Modifying modelsim.ini
ModelSim Info: # vcom -93 -work altera {c:/altera/71/quartus/eda/sim_lib/altera_primitives_components.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Loading package vital_timing
ModelSim Info: # -- Loading package vital_primitives
ModelSim Info: # -- Compiling package dffeas_pack
ModelSim Info: # -- Loading package dffeas_pack
ModelSim Info: # -- Compiling package altera_primitives_components
ModelSim Info: # vcom -93 -work altera {c:/altera/71/quartus/eda/sim_lib/altera_primitives.vhd}
ModelSim Info: # Model Technology ModelSim SE vcom 6.2g Compiler 2007.02 Feb 21 2007
ModelSim Info: # -- Loading package standard
ModelSim Info: # -- Loading package std_logic_1164
ModelSim Info: # -- Compiling entity global
ModelSim Info: # -- Compiling architecture behavior of global
ModelSim Info: # -- Compiling entity carry
ModelSim Info: # -- Compiling architecture behavior of carry
ModelSim Info: # -- Compiling entity cascade
ModelSim Info: # -- Compiling architecture behavior of cascade
ModelSim Info: # -- Compiling entity carry_sum
ModelSim Info: # -- Compiling architecture behavior of carry_sum
ModelSim Info: # -- Compiling entity exp
ModelSim Info: # -- Compiling architecture behavior of exp
ModelSim Info: # -- Compiling entity soft
ModelSim Info: # -- Compiling architecture behavior of soft
ModelSim Info: # -- Compiling entity opndrn
ModelSim Info: # -- Compiling architecture behavior of opndrn
ModelSim Info: # -- Compiling entity row_global
ModelSim Info: # -- Compiling architecture behavior of row_global
ModelSim Info: # -- Compiling entity tri
ModelSim Info: # -- Compiling architecture behavior of tri
ModelSim Info: # -- Compiling entity lut_input
ModelSim Info: # -- Compiling architecture behavior of lut_input
ModelSim Info: # -- Compiling entity lut_output

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -