📄 plb_bram_if_cntlr_1_bram_elaborate.vhd
字号:
-------------------------------------------------------------------------------
-- plb_bram_if_cntlr_1_bram_elaborate.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity plb_bram_if_cntlr_1_bram_elaborate is
generic (
C_MEMSIZE : integer;
C_PORT_DWIDTH : integer;
C_PORT_AWIDTH : integer;
C_NUM_WE : integer;
C_FAMILY : string
);
port (
BRAM_Rst_A : in std_logic;
BRAM_Clk_A : in std_logic;
BRAM_EN_A : in std_logic;
BRAM_WEN_A : in std_logic_vector(0 to C_NUM_WE-1);
BRAM_Addr_A : in std_logic_vector(0 to C_PORT_AWIDTH-1);
BRAM_Din_A : out std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Dout_A : in std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Rst_B : in std_logic;
BRAM_Clk_B : in std_logic;
BRAM_EN_B : in std_logic;
BRAM_WEN_B : in std_logic_vector(0 to C_NUM_WE-1);
BRAM_Addr_B : in std_logic_vector(0 to C_PORT_AWIDTH-1);
BRAM_Din_B : out std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Dout_B : in std_logic_vector(0 to C_PORT_DWIDTH-1)
);
attribute keep_hierarchy : STRING;
attribute keep_hierarchy of plb_bram_if_cntlr_1_bram_elaborate: entity is "yes";
end plb_bram_if_cntlr_1_bram_elaborate;
architecture STRUCTURE of plb_bram_if_cntlr_1_bram_elaborate is
attribute WRITE_MODE_A : string;
attribute WRITE_MODE_B : string;
component RAMB16_S2_S2 is
generic (
WRITE_MODE_A : string;
WRITE_MODE_B : string
);
port (
ADDRA : in std_logic_vector(12 downto 0);
CLKA : in std_logic;
DIA : in std_logic_vector(1 downto 0);
DOA : out std_logic_vector(1 downto 0);
ENA : in std_logic;
SSRA : in std_logic;
WEA : in std_logic;
ADDRB : in std_logic_vector(12 downto 0);
CLKB : in std_logic;
DIB : in std_logic_vector(1 downto 0);
DOB : out std_logic_vector(1 downto 0);
ENB : in std_logic;
SSRB : in std_logic;
WEB : in std_logic
);
end component;
attribute WRITE_MODE_A of RAMB16_S2_S2: component is "WRITE_FIRST";
attribute WRITE_MODE_B of RAMB16_S2_S2: component is "WRITE_FIRST";
-- Internal signals
signal dina : std_logic_vector(63 downto 0);
signal dinb : std_logic_vector(63 downto 0);
signal douta : std_logic_vector(63 downto 0);
signal doutb : std_logic_vector(63 downto 0);
begin
-- Internal assignments
dina(63 downto 0) <= BRAM_Dout_A(0 to 63);
BRAM_Din_A(0 to 63) <= douta(63 downto 0);
dinb(63 downto 0) <= BRAM_Dout_B(0 to 63);
BRAM_Din_B(0 to 63) <= doutb(63 downto 0);
ramb16_s2_s2_0 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(63 downto 62),
DOA => douta(63 downto 62),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(0),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(63 downto 62),
DOB => doutb(63 downto 62),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(0)
);
ramb16_s2_s2_1 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(61 downto 60),
DOA => douta(61 downto 60),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(0),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(61 downto 60),
DOB => doutb(61 downto 60),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(0)
);
ramb16_s2_s2_2 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(59 downto 58),
DOA => douta(59 downto 58),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(0),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(59 downto 58),
DOB => doutb(59 downto 58),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(0)
);
ramb16_s2_s2_3 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(57 downto 56),
DOA => douta(57 downto 56),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(0),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(57 downto 56),
DOB => doutb(57 downto 56),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(0)
);
ramb16_s2_s2_4 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(55 downto 54),
DOA => douta(55 downto 54),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(1),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(55 downto 54),
DOB => doutb(55 downto 54),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(1)
);
ramb16_s2_s2_5 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(53 downto 52),
DOA => douta(53 downto 52),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(1),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(53 downto 52),
DOB => doutb(53 downto 52),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(1)
);
ramb16_s2_s2_6 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(51 downto 50),
DOA => douta(51 downto 50),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(1),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(51 downto 50),
DOB => doutb(51 downto 50),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(1)
);
ramb16_s2_s2_7 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(49 downto 48),
DOA => douta(49 downto 48),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(1),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(49 downto 48),
DOB => doutb(49 downto 48),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(1)
);
ramb16_s2_s2_8 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(47 downto 46),
DOA => douta(47 downto 46),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(2),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(47 downto 46),
DOB => doutb(47 downto 46),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(2)
);
ramb16_s2_s2_9 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(45 downto 44),
DOA => douta(45 downto 44),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(2),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(45 downto 44),
DOB => doutb(45 downto 44),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(2)
);
ramb16_s2_s2_10 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(43 downto 42),
DOA => douta(43 downto 42),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(2),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(43 downto 42),
DOB => doutb(43 downto 42),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(2)
);
ramb16_s2_s2_11 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(41 downto 40),
DOA => douta(41 downto 40),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(2),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(41 downto 40),
DOB => doutb(41 downto 40),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(2)
);
ramb16_s2_s2_12 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(39 downto 38),
DOA => douta(39 downto 38),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(3),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(39 downto 38),
DOB => doutb(39 downto 38),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(3)
);
ramb16_s2_s2_13 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST"
)
port map (
ADDRA => BRAM_Addr_A(16 to 28),
CLKA => BRAM_Clk_A,
DIA => dina(37 downto 36),
DOA => douta(37 downto 36),
ENA => BRAM_EN_A,
SSRA => BRAM_Rst_A,
WEA => BRAM_WEN_A(3),
ADDRB => BRAM_Addr_B(16 to 28),
CLKB => BRAM_Clk_B,
DIB => dinb(37 downto 36),
DOB => doutb(37 downto 36),
ENB => BRAM_EN_B,
SSRB => BRAM_Rst_B,
WEB => BRAM_WEN_B(3)
);
ramb16_s2_s2_14 : RAMB16_S2_S2
generic map (
WRITE_MODE_A => "WRITE_FIRST",
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -