📄 system.vhd
字号:
ps2_keyb_clk_T : out std_logic;
ps2_keyb_data_I : in std_logic;
ps2_keyb_data_O : out std_logic;
ps2_keyb_data_T : out std_logic
);
end component;
attribute box_type of ps2_ports_io_adapter_wrapper: component is "black_box";
component dcm_0_wrapper is
port (
RST : in std_logic;
CLKIN : in std_logic;
CLKFB : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
PSCLK : in std_logic;
DSSEN : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLKDV : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
STATUS : out std_logic_vector(7 downto 0);
LOCKED : out std_logic;
PSDONE : out std_logic
);
end component;
attribute box_type of dcm_0_wrapper: component is "black_box";
component myfirewall_0_wrapper is
port (
sys_clk_in_100m : in std_logic;
sys_rst_in : in std_logic;
ppc_ce_n_conf : out std_logic;
ppc_we_n_conf : out std_logic;
ppc_re_n_conf : out std_logic;
ppc_clr_n_conf : out std_logic;
ppc_addr_conf : out std_logic_vector(0 to 7);
ppc_wdat_conf : out std_logic_vector(0 to 15);
conf_rdat_ppc : in std_logic_vector(0 to 15);
OPB_Clk : in std_logic;
OPB_Rst : in std_logic;
Sl_DBus : out std_logic_vector(0 to 31);
Sl_errAck : out std_logic;
Sl_retry : out std_logic;
Sl_toutSup : out std_logic;
Sl_xferAck : out std_logic;
OPB_ABus : in std_logic_vector(0 to 31);
OPB_BE : in std_logic_vector(0 to 3);
OPB_DBus : in std_logic_vector(0 to 31);
OPB_RNW : in std_logic;
OPB_select : in std_logic;
OPB_seqAddr : in std_logic
);
end component;
attribute box_type of myfirewall_0_wrapper: component is "black_box";
component IOBUF is
port (
I : in std_logic;
IO : inout std_logic;
O : out std_logic;
T : in std_logic
);
end component;
-- Internal signals
signal C405RSTCHIPRESETREQ : std_logic;
signal C405RSTCORERESETREQ : std_logic;
signal C405RSTSYSRESETREQ : std_logic;
signal PS2_Ports_Clkin1_PS2_Ports_IO_ADAPTER_ps2_clk_rx_1 : std_logic;
signal PS2_Ports_Clkin2_PS2_Ports_IO_ADAPTER_ps2_clk_rx_2 : std_logic;
signal PS2_Ports_Clkpd1_PS2_Ports_IO_ADAPTER_ps2_clk_tx_1 : std_logic;
signal PS2_Ports_Clkpd2_PS2_Ports_IO_ADAPTER_ps2_clk_tx_2 : std_logic;
signal PS2_Ports_IO_ADAPTER_ps2_d_rx_1_PS2_Ports_Rx1 : std_logic;
signal PS2_Ports_IO_ADAPTER_ps2_d_rx_2_PS2_Ports_Rx2 : std_logic;
signal PS2_Ports_IO_ADAPTER_ps2_d_tx_1_PS2_Ports_Txpd1 : std_logic;
signal PS2_Ports_IO_ADAPTER_ps2_d_tx_2_PS2_Ports_Txpd2 : std_logic;
signal RSTC405RESETCHIP : std_logic;
signal RSTC405RESETCORE : std_logic;
signal RSTC405RESETSYS : std_logic;
signal dcm_0_lock : std_logic;
signal dcm_clk_s : std_logic;
signal fpga_0_DIPSWs_4Bit_GPIO_IO_I : std_logic_vector(0 to 3);
signal fpga_0_DIPSWs_4Bit_GPIO_IO_O : std_logic_vector(0 to 3);
signal fpga_0_DIPSWs_4Bit_GPIO_IO_T : std_logic_vector(0 to 3);
signal fpga_0_LEDs_4Bit_GPIO_IO_I : std_logic_vector(0 to 3);
signal fpga_0_LEDs_4Bit_GPIO_IO_O : std_logic_vector(0 to 3);
signal fpga_0_LEDs_4Bit_GPIO_IO_T : std_logic_vector(0 to 3);
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_clk_I : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_clk_O : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_clk_T : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_data_I : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_data_O : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_data_T : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_clk_I : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_clk_O : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_clk_T : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_data_I : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_data_O : std_logic;
signal fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_data_T : std_logic;
signal fpga_0_PushButtons_5Bit_GPIO_IO_I : std_logic_vector(0 to 4);
signal fpga_0_PushButtons_5Bit_GPIO_IO_O : std_logic_vector(0 to 4);
signal fpga_0_PushButtons_5Bit_GPIO_IO_T : std_logic_vector(0 to 4);
signal fpga_0_RS232_Uart_1_RX : std_logic;
signal fpga_0_RS232_Uart_1_TX : std_logic;
signal myfirewall_0_conf_rdat_ppc : std_logic_vector(0 to 15);
signal myfirewall_0_ppc_addr_conf : std_logic_vector(0 to 7);
signal myfirewall_0_ppc_ce_n_conf : std_logic;
signal myfirewall_0_ppc_clr_n_conf : std_logic;
signal myfirewall_0_ppc_re_n_conf : std_logic;
signal myfirewall_0_ppc_wdat_conf : std_logic_vector(0 to 15);
signal myfirewall_0_ppc_we_n_conf : std_logic;
signal net_gnd0 : std_logic;
signal net_gnd1 : std_logic_vector(0 to 0);
signal net_gnd4 : std_logic_vector(0 to 3);
signal net_gnd5 : std_logic_vector(0 to 4);
signal net_gnd6 : std_logic_vector(0 to 5);
signal net_gnd8 : std_logic_vector(0 to 7);
signal net_gnd10 : std_logic_vector(0 to 9);
signal net_gnd32 : std_logic_vector(0 to 31);
signal net_gnd64 : std_logic_vector(0 to 63);
signal net_vcc0 : std_logic;
signal net_vcc1 : std_logic_vector(0 to 0);
signal net_vcc6 : std_logic_vector(0 to 5);
signal opb_M_ABus : std_logic_vector(0 to 31);
signal opb_M_BE : std_logic_vector(0 to 3);
signal opb_M_DBus : std_logic_vector(0 to 31);
signal opb_M_RNW : std_logic_vector(0 to 0);
signal opb_M_busLock : std_logic_vector(0 to 0);
signal opb_M_request : std_logic_vector(0 to 0);
signal opb_M_select : std_logic_vector(0 to 0);
signal opb_M_seqAddr : std_logic_vector(0 to 0);
signal opb_OPB_ABus : std_logic_vector(0 to 31);
signal opb_OPB_BE : std_logic_vector(0 to 3);
signal opb_OPB_DBus : std_logic_vector(0 to 31);
signal opb_OPB_MGrant : std_logic_vector(0 to 0);
signal opb_OPB_RNW : std_logic;
signal opb_OPB_Rst : std_logic;
signal opb_OPB_errAck : std_logic;
signal opb_OPB_retry : std_logic;
signal opb_OPB_select : std_logic;
signal opb_OPB_seqAddr : std_logic;
signal opb_OPB_timeout : std_logic;
signal opb_OPB_xferAck : std_logic;
signal opb_Sl_DBus : std_logic_vector(0 to 191);
signal opb_Sl_errAck : std_logic_vector(0 to 5);
signal opb_Sl_retry : std_logic_vector(0 to 5);
signal opb_Sl_toutSup : std_logic_vector(0 to 5);
signal opb_Sl_xferAck : std_logic_vector(0 to 5);
signal plb_M_ABus : std_logic_vector(0 to 63);
signal plb_M_BE : std_logic_vector(0 to 15);
signal plb_M_MSize : std_logic_vector(0 to 3);
signal plb_M_RNW : std_logic_vector(0 to 1);
signal plb_M_abort : std_logic_vector(0 to 1);
signal plb_M_busLock : std_logic_vector(0 to 1);
signal plb_M_compress : std_logic_vector(0 to 1);
signal plb_M_guarded : std_logic_vector(0 to 1);
signal plb_M_lockErr : std_logic_vector(0 to 1);
signal plb_M_ordered : std_logic_vector(0 to 1);
signal plb_M_priority : std_logic_vector(0 to 3);
signal plb_M_rdBurst : std_logic_vector(0 to 1);
signal plb_M_request : std_logic_vector(0 to 1);
signal plb_M_size : std_logic_vector(0 to 7);
signal plb_M_type : std_logic_vector(0 to 5);
signal plb_M_wrBurst : std_logic_vector(0 to 1);
signal plb_M_wrDBus : std_logic_vector(0 to 127);
signal plb_PLB2OPB_rearb : std_logic_vector(0 to 1);
signal plb_PLB_ABus : std_logic_vector(0 to 31);
signal plb_PLB_BE : std_logic_vector(0 to 7);
signal plb_PLB_MAddrAck : std_logic_vector(0 to 1);
signal plb_PLB_MBusy : std_logic_vector(0 to 1);
signal plb_PLB_MErr : std_logic_vector(0 to 1);
signal plb_PLB_MRdBTerm : std_logic_vector(0 to 1);
signal plb_PLB_MRdDAck : std_logic_vector(0 to 1);
signal plb_PLB_MRdDBus : std_logic_vector(0 to 127);
signal plb_PLB_MRdWdAddr : std_logic_vector(0 to 7);
signal plb_PLB_MRearbitrate : std_logic_vector(0 to 1);
signal plb_PLB_MSSize : std_logic_vector(0 to 3);
signal plb_PLB_MSize : std_logic_vector(0 to 1);
signal plb_PLB_MWrBTerm : std_logic_vector(0 to 1);
signal plb_PLB_MWrDAck : std_logic_vector(0 to 1);
signal plb_PLB_PAValid : std_logic;
signal plb_PLB_RNW : std_logic;
signal plb_PLB_Rst : std_logic;
signal plb_PLB_SAValid : std_logic;
signal plb_PLB_SMBusy : std_logic_vector(0 to 1);
signal plb_PLB_SMErr : std_logic_vector(0 to 1);
signal plb_PLB_abort : std_logic;
signal plb_PLB_busLock : std_logic;
signal plb_PLB_compress : std_logic;
signal plb_PLB_guarded : std_logic;
signal plb_PLB_lockErr : std_logic;
signal plb_PLB_masterID : std_logic_vector(0 to 0);
signal plb_PLB_ordered : std_logic;
signal plb_PLB_pendPri : std_logic_vector(0 to 1);
signal plb_PLB_pendReq : std_logic;
signal plb_PLB_rdBurst : std_logic;
signal plb_PLB_rdPrim : std_logic;
signal plb_PLB_reqPri : std_logic_vector(0 to 1);
signal plb_PLB_size : std_logic_vector(0 to 3);
signal plb_PLB_type : std_logic_vector(0 to 2);
signal plb_PLB_wrBurst : std_logic;
signal plb_PLB_wrDBus : std_logic_vector(0 to 63);
signal plb_PLB_wrPrim : std_logic;
signal plb_Sl_MBusy : std_logic_vector(0 to 3);
signal plb_Sl_MErr : std_logic_vector(0 to 3);
signal plb_Sl_SSize : std_logic_vector(0 to 3);
signal plb_Sl_addrAck : std_logic_vector(0 to 1);
signal plb_Sl_rdBTerm : std_logic_vector(0 to 1);
signal plb_Sl_rdComp : std_logic_vector(0 to 1);
signal plb_Sl_rdDAck : std_logic_vector(0 to 1);
signal plb_Sl_rdDBus : std_logic_vector(0 to 127);
signal plb_Sl_rdWdAddr : std_logic_vector(0 to 7);
signal plb_Sl_rearbitrate : std_logic_vector(0 to 1);
signal plb_Sl_wait : std_logic_vector(0 to 1);
signal plb_Sl_wrBTerm : std_logic_vector(0 to 1);
signal plb_Sl_wrComp : std_logic_vector(0 to 1);
signal plb_Sl_wrDAck : std_logic_vector(0 to 1);
signal plb_bram_if_cntlr_1_port_BRAM_Addr : std_logic_vector(0 to 31);
signal plb_bram_if_cntlr_1_port_BRAM_Clk : std_logic;
signal plb_bram_if_cntlr_1_port_BRAM_Din : std_logic_vector(0 to 63);
signal plb_bram_if_cntlr_1_port_BRAM_Dout : std_logic_vector(0 to 63);
signal plb_bram_if_cntlr_1_port_BRAM_EN : std_logic;
signal plb_bram_if_cntlr_1_port_BRAM_Rst : std_logic;
signal plb_bram_if_cntlr_1_port_BRAM_WEN : std_logic_vector(0 to 7);
signal sys_bus_reset : std_logic_vector(0 to 0);
signal sys_clk_s : std_logic;
signal sys_rst_s : std_logic;
begin
-- Internal assignments
fpga_0_RS232_Uart_1_RX <= fpga_0_RS232_Uart_1_RX_pin;
fpga_0_RS232_Uart_1_TX_pin <= fpga_0_RS232_Uart_1_TX;
dcm_clk_s <= sys_clk_pin;
sys_rst_s <= sys_rst_pin;
myfirewall_0_ppc_ce_n_conf_pin <= myfirewall_0_ppc_ce_n_conf;
myfirewall_0_ppc_we_n_conf_pin <= myfirewall_0_ppc_we_n_conf;
myfirewall_0_ppc_re_n_conf_pin <= myfirewall_0_ppc_re_n_conf;
myfirewall_0_ppc_clr_n_conf_pin <= myfirewall_0_ppc_clr_n_conf;
myfirewall_0_ppc_addr_conf_pin <= myfirewall_0_ppc_addr_conf;
myfirewall_0_ppc_wdat_conf_pin <= myfirewall_0_ppc_wdat_conf;
myfirewall_0_conf_rdat_ppc <= myfirewall_0_conf_rdat_ppc_pin;
plb_PLB2OPB_rearb(1 to 1) <= B"0";
net_gnd0 <= '0';
fpga_0_net_gnd_pin <= net_gnd0;
fpga_0_net_gnd_1_pin <= net_gnd0;
fpga_0_net_gnd_2_pin <= net_gnd0;
fpga_0_net_gnd_3_pin <= net_gnd0;
fpga_0_net_gnd_4_pin <= net_gnd0;
fpga_0_net_gnd_5_pin <= net_gnd0;
fpga_0_net_gnd_6_pin <= net_gnd0;
net_gnd1(0 to 0) <= B"0";
net_gnd10(0 to 9) <= B"0000000000";
net_gnd32(0 to 31) <= B"00000000000000000000000000000000";
net_gnd4(0 to 3) <= B"0000";
net_gnd5(0 to 4) <= B"00000";
net_gnd6(0 to 5) <= B"000000";
net_gnd64(0 to 63) <= B"0000000000000000000000000000000000000000000000000000000000000000";
net_gnd8(0 to 7) <= B"00000000";
net_vcc0 <= '1';
net_vcc1(0 to 0) <= B"1";
net_vcc6(0 to 5) <= B"111111";
ppc405_0 : ppc405_0_wrapper
port map (
C405CPMCORESLEEPREQ => open,
C405CPMMSRCE => open,
C405CPMMSREE => open,
C405CPMTIMERIRQ => open,
C405CPMTIMERRESETREQ => open,
C405XXXMACHINECHECK => open,
CPMC405CLOCK => sys_clk_s,
CPMC405CORECLKINACTIVE => net_gnd0,
CPMC405CPUCLKEN => net_vcc0,
CPMC405JTAGCLKEN => net_vcc0,
CPMC405TIMERCLKEN => net_vcc0,
CPMC405TIMERTICK => net_vcc0,
MCBCPUCLKEN => net_vcc0,
MCBTIMEREN => net_vcc0,
MCPPCRST => net_vcc0,
PLBCLK => sys_clk_s,
DCRCLK => net_gnd0,
C405RSTCHIPRESETREQ => C405RSTCHIPRESETREQ,
C405RSTCORERESETREQ => C405RSTCORERESETREQ,
C405RSTSYSRESETREQ => C405RSTSYSRESETREQ,
RSTC405RESETCHIP => RSTC405RESETCHIP,
RSTC405RESETCORE => RSTC405RESETCORE,
RSTC405RESETSYS => RSTC405RESETSYS,
C405PLBICUABUS => plb_M_ABus(32 to 63),
C405PLBICUBE => plb_M_BE(8 to 15),
C405PLBICURNW => plb_M_RNW(1),
C405PLBICUABORT => plb_M_abort(1),
C405PLBICUBUSLOCK => plb_M_busLock(1),
C405PLBICUU0ATTR => plb_M_compress(1),
C405PLBICUGUARDED => plb_M_guarded(1),
C405PLBICULOCKERR => plb_M_lockErr(1),
C405PLBICUMSIZE => plb_M_MSize(2 to 3),
C405PLBICUORDERED => plb_M_ordered(1),
C405PLBICUPRIORITY => plb_M_priority(2 to 3),
C405PLBICURDBURST => plb_M_rdBurst(1),
C405PLBICUREQUEST => plb_M_request(1),
C405PLBICUSIZE => plb_M_size(4 to 7),
C405PLBICUTYPE => plb_M_type(3 to 5),
C405PLBICUWRBURST => plb_M_wrBurst(1),
C405PLBICUWRDBUS => plb_M_wrDBus(64 to 127),
C405PLBICUCACHEABLE => open,
PLBC405ICUADDRACK => plb_PLB_MAddrAck(1),
PLBC405ICUBUSY => plb_PLB_MBusy(1),
PLBC405ICUERR => plb_PLB_MErr(1),
PLBC405ICURDBTERM => plb_PLB_MRdBTerm(1),
PLBC405ICURDDACK => plb_PLB_MRdDAck(1),
PLBC405ICURDDBUS => plb_PLB_MRdDBus(64 to 127),
PLBC405ICURDWDADDR => plb_PLB_MRdWdAddr(4 to 7),
PLBC405ICUREARBITRATE => plb_PLB_MRearbitrate(1),
PLBC405ICUWRBTERM => plb_PLB_MWrBTerm(1),
PLBC405ICUWRDACK => plb_PLB_MWrDAck(1),
PLBC405ICUSSIZE => plb_PLB_MSSize(2 to 3),
PLBC405ICUSERR => plb_PLB_SMErr(1),
PLBC405ICUSBUSYS => plb_PLB_SMBusy(1),
C405PLBDCUABUS => plb_M_ABus(0 to 31),
C405PLBDCUBE => plb_M_BE(0 to 7),
C405PLBDCURNW => plb_M_RNW(0),
C405PLBDCUABORT => plb_M_abort(0),
C405PLBDCUBUSLOCK => plb_M_busLock(0),
C405PLBDCUU0ATTR => plb_M_compress(0),
C405PLBDCUGUARDED => plb_M_guarded(0),
C405PLBDCULOCKERR => plb_M_lockErr(0),
C405PLBDCUMSIZE => plb_M_MSize(0 to 1),
C405PLBDCUORDERED => plb_M_ordered(0),
C405PLBDCUPRIORITY => plb_M_priority(0 to 1),
C405PLBDCURDBURST => plb_M_rdBurst(0),
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -