📄 system.vhd
字号:
-------------------------------------------------------------------------------
-- system.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity system is
port (
fpga_0_RS232_Uart_1_RX_pin : in std_logic;
fpga_0_RS232_Uart_1_TX_pin : out std_logic;
fpga_0_LEDs_4Bit_GPIO_IO_pin : inout std_logic_vector(0 to 3);
fpga_0_DIPSWs_4Bit_GPIO_IO_pin : inout std_logic_vector(0 to 3);
fpga_0_PushButtons_5Bit_GPIO_IO_pin : inout std_logic_vector(0 to 4);
fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_clk_pin : inout std_logic;
fpga_0_PS2_Ports_IO_ADAPTER_ps2_mouse_data_pin : inout std_logic;
fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_clk_pin : inout std_logic;
fpga_0_PS2_Ports_IO_ADAPTER_ps2_keyb_data_pin : inout std_logic;
fpga_0_net_gnd_pin : out std_logic;
fpga_0_net_gnd_1_pin : out std_logic;
fpga_0_net_gnd_2_pin : out std_logic;
fpga_0_net_gnd_3_pin : out std_logic;
fpga_0_net_gnd_4_pin : out std_logic;
fpga_0_net_gnd_5_pin : out std_logic;
fpga_0_net_gnd_6_pin : out std_logic;
sys_clk_pin : in std_logic;
sys_rst_pin : in std_logic;
myfirewall_0_ppc_ce_n_conf_pin : out std_logic;
myfirewall_0_ppc_we_n_conf_pin : out std_logic;
myfirewall_0_ppc_re_n_conf_pin : out std_logic;
myfirewall_0_ppc_clr_n_conf_pin : out std_logic;
myfirewall_0_ppc_addr_conf_pin : out std_logic_vector(0 to 7);
myfirewall_0_ppc_wdat_conf_pin : out std_logic_vector(0 to 15);
myfirewall_0_conf_rdat_ppc_pin : in std_logic_vector(0 to 15)
);
end system;
architecture STRUCTURE of system is
attribute box_type : STRING;
component ppc405_0_wrapper is
port (
C405CPMCORESLEEPREQ : out std_logic;
C405CPMMSRCE : out std_logic;
C405CPMMSREE : out std_logic;
C405CPMTIMERIRQ : out std_logic;
C405CPMTIMERRESETREQ : out std_logic;
C405XXXMACHINECHECK : out std_logic;
CPMC405CLOCK : in std_logic;
CPMC405CORECLKINACTIVE : in std_logic;
CPMC405CPUCLKEN : in std_logic;
CPMC405JTAGCLKEN : in std_logic;
CPMC405TIMERCLKEN : in std_logic;
CPMC405TIMERTICK : in std_logic;
MCBCPUCLKEN : in std_logic;
MCBTIMEREN : in std_logic;
MCPPCRST : in std_logic;
PLBCLK : in std_logic;
DCRCLK : in std_logic;
C405RSTCHIPRESETREQ : out std_logic;
C405RSTCORERESETREQ : out std_logic;
C405RSTSYSRESETREQ : out std_logic;
RSTC405RESETCHIP : in std_logic;
RSTC405RESETCORE : in std_logic;
RSTC405RESETSYS : in std_logic;
C405PLBICUABUS : out std_logic_vector(0 to 31);
C405PLBICUBE : out std_logic_vector(0 to 7);
C405PLBICURNW : out std_logic;
C405PLBICUABORT : out std_logic;
C405PLBICUBUSLOCK : out std_logic;
C405PLBICUU0ATTR : out std_logic;
C405PLBICUGUARDED : out std_logic;
C405PLBICULOCKERR : out std_logic;
C405PLBICUMSIZE : out std_logic_vector(0 to 1);
C405PLBICUORDERED : out std_logic;
C405PLBICUPRIORITY : out std_logic_vector(0 to 1);
C405PLBICURDBURST : out std_logic;
C405PLBICUREQUEST : out std_logic;
C405PLBICUSIZE : out std_logic_vector(0 to 3);
C405PLBICUTYPE : out std_logic_vector(0 to 2);
C405PLBICUWRBURST : out std_logic;
C405PLBICUWRDBUS : out std_logic_vector(0 to 63);
C405PLBICUCACHEABLE : out std_logic;
PLBC405ICUADDRACK : in std_logic;
PLBC405ICUBUSY : in std_logic;
PLBC405ICUERR : in std_logic;
PLBC405ICURDBTERM : in std_logic;
PLBC405ICURDDACK : in std_logic;
PLBC405ICURDDBUS : in std_logic_vector(0 to 63);
PLBC405ICURDWDADDR : in std_logic_vector(0 to 3);
PLBC405ICUREARBITRATE : in std_logic;
PLBC405ICUWRBTERM : in std_logic;
PLBC405ICUWRDACK : in std_logic;
PLBC405ICUSSIZE : in std_logic_vector(0 to 1);
PLBC405ICUSERR : in std_logic;
PLBC405ICUSBUSYS : in std_logic;
C405PLBDCUABUS : out std_logic_vector(0 to 31);
C405PLBDCUBE : out std_logic_vector(0 to 7);
C405PLBDCURNW : out std_logic;
C405PLBDCUABORT : out std_logic;
C405PLBDCUBUSLOCK : out std_logic;
C405PLBDCUU0ATTR : out std_logic;
C405PLBDCUGUARDED : out std_logic;
C405PLBDCULOCKERR : out std_logic;
C405PLBDCUMSIZE : out std_logic_vector(0 to 1);
C405PLBDCUORDERED : out std_logic;
C405PLBDCUPRIORITY : out std_logic_vector(0 to 1);
C405PLBDCURDBURST : out std_logic;
C405PLBDCUREQUEST : out std_logic;
C405PLBDCUSIZE : out std_logic_vector(0 to 3);
C405PLBDCUTYPE : out std_logic_vector(0 to 2);
C405PLBDCUWRBURST : out std_logic;
C405PLBDCUWRDBUS : out std_logic_vector(0 to 63);
C405PLBDCUCACHEABLE : out std_logic;
C405PLBDCUWRITETHRU : out std_logic;
PLBC405DCUADDRACK : in std_logic;
PLBC405DCUBUSY : in std_logic;
PLBC405DCUERR : in std_logic;
PLBC405DCURDBTERM : in std_logic;
PLBC405DCURDDACK : in std_logic;
PLBC405DCURDDBUS : in std_logic_vector(0 to 63);
PLBC405DCURDWDADDR : in std_logic_vector(0 to 3);
PLBC405DCUREARBITRATE : in std_logic;
PLBC405DCUWRBTERM : in std_logic;
PLBC405DCUWRDACK : in std_logic;
PLBC405DCUSSIZE : in std_logic_vector(0 to 1);
PLBC405DCUSERR : in std_logic;
PLBC405DCUSBUSYS : in std_logic;
BRAMDSOCMCLK : in std_logic;
BRAMDSOCMRDDBUS : in std_logic_vector(0 to 31);
DSARCVALUE : in std_logic_vector(0 to 7);
DSCNTLVALUE : in std_logic_vector(0 to 7);
DSOCMBRAMABUS : out std_logic_vector(8 to 29);
DSOCMBRAMBYTEWRITE : out std_logic_vector(0 to 3);
DSOCMBRAMEN : out std_logic;
DSOCMBRAMWRDBUS : out std_logic_vector(0 to 31);
DSOCMBUSY : out std_logic;
BRAMISOCMCLK : in std_logic;
BRAMISOCMRDDBUS : in std_logic_vector(0 to 63);
ISARCVALUE : in std_logic_vector(0 to 7);
ISCNTLVALUE : in std_logic_vector(0 to 7);
ISOCMBRAMEN : out std_logic;
ISOCMBRAMEVENWRITEEN : out std_logic;
ISOCMBRAMODDWRITEEN : out std_logic;
ISOCMBRAMRDABUS : out std_logic_vector(8 to 28);
ISOCMBRAMWRABUS : out std_logic_vector(8 to 28);
ISOCMBRAMWRDBUS : out std_logic_vector(0 to 31);
C405DCRABUS : out std_logic_vector(0 to 9);
C405DCRDBUSOUT : out std_logic_vector(0 to 31);
C405DCRREAD : out std_logic;
C405DCRWRITE : out std_logic;
DCRC405ACK : in std_logic;
DCRC405DBUSIN : in std_logic_vector(0 to 31);
EICC405CRITINPUTIRQ : in std_logic;
EICC405EXTINPUTIRQ : in std_logic;
C405JTGCAPTUREDR : out std_logic;
C405JTGEXTEST : out std_logic;
C405JTGPGMOUT : out std_logic;
C405JTGSHIFTDR : out std_logic;
C405JTGTDO : out std_logic;
C405JTGTDOEN : out std_logic;
C405JTGUPDATEDR : out std_logic;
MCBJTAGEN : in std_logic;
JTGC405BNDSCANTDO : in std_logic;
JTGC405TCK : in std_logic;
JTGC405TDI : in std_logic;
JTGC405TMS : in std_logic;
JTGC405TRSTNEG : in std_logic;
C405DBGMSRWE : out std_logic;
C405DBGSTOPACK : out std_logic;
C405DBGWBCOMPLETE : out std_logic;
C405DBGWBFULL : out std_logic;
C405DBGWBIAR : out std_logic_vector(0 to 29);
DBGC405DEBUGHALT : in std_logic;
DBGC405EXTBUSHOLDACK : in std_logic;
DBGC405UNCONDDEBUGEVENT : in std_logic;
C405TRCCYCLE : out std_logic;
C405TRCEVENEXECUTIONSTATUS : out std_logic_vector(0 to 1);
C405TRCODDEXECUTIONSTATUS : out std_logic_vector(0 to 1);
C405TRCTRACESTATUS : out std_logic_vector(0 to 3);
C405TRCTRIGGEREVENTOUT : out std_logic;
C405TRCTRIGGEREVENTTYPE : out std_logic_vector(0 to 10);
TRCC405TRACEDISABLE : in std_logic;
TRCC405TRIGGEREVENTIN : in std_logic
);
end component;
attribute box_type of ppc405_0_wrapper: component is "black_box";
component reset_block_wrapper is
port (
Slowest_sync_clk : in std_logic;
Ext_Reset_In : in std_logic;
Aux_Reset_In : in std_logic;
Core_Reset_Req : in std_logic;
Chip_Reset_Req : in std_logic;
System_Reset_Req : in std_logic;
Dcm_locked : in std_logic;
Rstc405resetcore : out std_logic;
Rstc405resetchip : out std_logic;
Rstc405resetsys : out std_logic;
Bus_Struct_Reset : out std_logic_vector(0 to 0);
Peripheral_Reset : out std_logic_vector(0 to 0)
);
end component;
attribute box_type of reset_block_wrapper: component is "black_box";
component plb_wrapper is
port (
PLB_Clk : in std_logic;
SYS_Rst : in std_logic;
PLB_Rst : out std_logic;
PLB_dcrAck : out std_logic;
PLB_dcrDBus : out std_logic_vector(0 to 31);
DCR_ABus : in std_logic_vector(0 to 9);
DCR_DBus : in std_logic_vector(0 to 31);
DCR_Read : in std_logic;
DCR_Write : in std_logic;
M_ABus : in std_logic_vector(0 to 63);
M_BE : in std_logic_vector(0 to 15);
M_RNW : in std_logic_vector(0 to 1);
M_abort : in std_logic_vector(0 to 1);
M_busLock : in std_logic_vector(0 to 1);
M_compress : in std_logic_vector(0 to 1);
M_guarded : in std_logic_vector(0 to 1);
M_lockErr : in std_logic_vector(0 to 1);
M_MSize : in std_logic_vector(0 to 3);
M_ordered : in std_logic_vector(0 to 1);
M_priority : in std_logic_vector(0 to 3);
M_rdBurst : in std_logic_vector(0 to 1);
M_request : in std_logic_vector(0 to 1);
M_size : in std_logic_vector(0 to 7);
M_type : in std_logic_vector(0 to 5);
M_wrBurst : in std_logic_vector(0 to 1);
M_wrDBus : in std_logic_vector(0 to 127);
Sl_addrAck : in std_logic_vector(0 to 1);
Sl_MErr : in std_logic_vector(0 to 3);
Sl_MBusy : in std_logic_vector(0 to 3);
Sl_rdBTerm : in std_logic_vector(0 to 1);
Sl_rdComp : in std_logic_vector(0 to 1);
Sl_rdDAck : in std_logic_vector(0 to 1);
Sl_rdDBus : in std_logic_vector(0 to 127);
Sl_rdWdAddr : in std_logic_vector(0 to 7);
Sl_rearbitrate : in std_logic_vector(0 to 1);
Sl_SSize : in std_logic_vector(0 to 3);
Sl_wait : in std_logic_vector(0 to 1);
Sl_wrBTerm : in std_logic_vector(0 to 1);
Sl_wrComp : in std_logic_vector(0 to 1);
Sl_wrDAck : in std_logic_vector(0 to 1);
PLB_ABus : out std_logic_vector(0 to 31);
PLB_BE : out std_logic_vector(0 to 7);
PLB_MAddrAck : out std_logic_vector(0 to 1);
PLB_MBusy : out std_logic_vector(0 to 1);
PLB_MErr : out std_logic_vector(0 to 1);
PLB_MRdBTerm : out std_logic_vector(0 to 1);
PLB_MRdDAck : out std_logic_vector(0 to 1);
PLB_MRdDBus : out std_logic_vector(0 to 127);
PLB_MRdWdAddr : out std_logic_vector(0 to 7);
PLB_MRearbitrate : out std_logic_vector(0 to 1);
PLB_MWrBTerm : out std_logic_vector(0 to 1);
PLB_MWrDAck : out std_logic_vector(0 to 1);
PLB_MSSize : out std_logic_vector(0 to 3);
PLB_PAValid : out std_logic;
PLB_RNW : out std_logic;
PLB_SAValid : out std_logic;
PLB_abort : out std_logic;
PLB_busLock : out std_logic;
PLB_compress : out std_logic;
PLB_guarded : out std_logic;
PLB_lockErr : out std_logic;
PLB_masterID : out std_logic_vector(0 to 0);
PLB_MSize : out std_logic_vector(0 to 1);
PLB_ordered : out std_logic;
PLB_pendPri : out std_logic_vector(0 to 1);
PLB_pendReq : out std_logic;
PLB_rdBurst : out std_logic;
PLB_rdPrim : out std_logic;
PLB_reqPri : out std_logic_vector(0 to 1);
PLB_size : out std_logic_vector(0 to 3);
PLB_type : out std_logic_vector(0 to 2);
PLB_wrBurst : out std_logic;
PLB_wrDBus : out std_logic_vector(0 to 63);
PLB_wrPrim : out std_logic;
PLB_SaddrAck : out std_logic;
PLB_SMErr : out std_logic_vector(0 to 1);
PLB_SMBusy : out std_logic_vector(0 to 1);
PLB_SrdBTerm : out std_logic;
PLB_SrdComp : out std_logic;
PLB_SrdDAck : out std_logic;
PLB_SrdDBus : out std_logic_vector(0 to 63);
PLB_SrdWdAddr : out std_logic_vector(0 to 3);
PLB_Srearbitrate : out std_logic;
PLB_Sssize : out std_logic_vector(0 to 1);
PLB_Swait : out std_logic;
PLB_SwrBTerm : out std_logic;
PLB_SwrComp : out std_logic;
PLB_SwrDAck : out std_logic;
PLB2OPB_rearb : in std_logic_vector(0 to 1);
ArbAddrVldReg : out std_logic;
Bus_Error_Det : out std_logic
);
end component;
attribute box_type of plb_wrapper: component is "black_box";
component opb_wrapper is
port (
OPB_Clk : in std_logic;
OPB_Rst : out std_logic;
SYS_Rst : in std_logic;
Debug_SYS_Rst : in std_logic;
WDT_Rst : in std_logic;
M_ABus : in std_logic_vector(0 to 31);
M_BE : in std_logic_vector(0 to 3);
M_beXfer : in std_logic_vector(0 to 0);
M_busLock : in std_logic_vector(0 to 0);
M_DBus : in std_logic_vector(0 to 31);
M_DBusEn : in std_logic_vector(0 to 0);
M_DBusEn32_63 : in std_logic_vector(0 to 0);
M_dwXfer : in std_logic_vector(0 to 0);
M_fwXfer : in std_logic_vector(0 to 0);
M_hwXfer : in std_logic_vector(0 to 0);
M_request : in std_logic_vector(0 to 0);
M_RNW : in std_logic_vector(0 to 0);
M_select : in std_logic_vector(0 to 0);
M_seqAddr : in std_logic_vector(0 to 0);
Sl_beAck : in std_logic_vector(0 to 5);
Sl_DBus : in std_logic_vector(0 to 191);
Sl_DBusEn : in std_logic_vector(0 to 5);
Sl_DBusEn32_63 : in std_logic_vector(0 to 5);
Sl_errAck : in std_logic_vector(0 to 5);
Sl_dwAck : in std_logic_vector(0 to 5);
Sl_fwAck : in std_logic_vector(0 to 5);
Sl_hwAck : in std_logic_vector(0 to 5);
Sl_retry : in std_logic_vector(0 to 5);
Sl_toutSup : in std_logic_vector(0 to 5);
Sl_xferAck : in std_logic_vector(0 to 5);
OPB_MRequest : out std_logic_vector(0 to 0);
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -