📄 decode.vhd
字号:
--** 译 码 器
--文件名:decode.vhd
--功 能:数据译码
--说 明:以拨盘开关作为数据输入端,用发光二极管表示译码后的信息;
-- datain(0)-datain(2) 分别对应拨盘开关上的1-3号键;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decode is
Port (datain : in std_logic_vector(2 downto 0);
cs : out std_logic_vector(1 downto 0);
dataout: out std_logic_vector(7 downto 0));
end decode;
architecture Behavioral of decode is
begin
cs<="01";--选通发光二极管;
process(datain)
begin
case datain is
when "111"=>
dataout<="11111110";
when "110"=>
dataout<="11111101";
when "101"=>
dataout<="11111011";
when "100"=>
dataout<="11110111";
when "011"=>
dataout<="11101111";
when "010"=>
dataout<="11011111";
when "001"=>
dataout<="10111111";
when "000"=>
dataout<="01111111";
when others=>
dataout<="11111111";
end case;
end process;
end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -