📄 data_c.vhd
字号:
--** 数据分配器
--文件名:data_c.vhd
--功 能:数据分配器
--说 明:以拨盘开关作为数据输入端,用数码管来反映输入的数据,通过不同的按键组合将数据用不同的数码管显示出来;
-- mux0、mux1分别用按键S3,S4来表示;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity data_c is
Port (datain : in std_logic_vector(7 downto 0); --数据输入端;
mux : in std_logic_vector(1 downto 0); --多路选择开关;
cs : out std_logic_vector(1 downto 0);--数码管、发光二极管选通信号;
shift : out std_logic_vector(3 downto 0);--数码管动态扫描信号;
dataout: out std_logic_vector(7 downto 0));--数据输出端
end data_c;
architecture Behavioral of data_c is
begin
cs<="10"; --选通数码管;
dataout<=datain;
with mux select --通过不同的按键组合将数据用不同的数码管显示出来;
shift<="1110" when "00",
"1101" when "10",
"1011" when "01",
"0111" when others;
end Behavioral;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -