⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 c_data.vhd

📁 一些很好的FPGA设计实例
💻 VHD
字号:

--**                    数据选择器 						        **--

--文件名:c_data.vhd

--功  能:数据选择器

--说  明:以拨盘开关作为多路数据选择开关,将四路数据送到8位发光二极管上显示

--        datain(0)-datain(3) 分别对应拨盘开关上的1-4号键;

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity c_data is		   
    Port (datain   : in std_logic_vector(3 downto 0);  --多路数据选择开关;
	       cs       : out std_logic_vector(1 downto 0); --数码管、发光二极管片选信号
			 dataout  : out std_logic_vector(7 downto 0));--数据输出;
end c_data;

architecture Behavioral of c_data is

begin

cs<="01";          --选通数码管;

with datain select
    dataout<="00001111"when "1110",		 --选择第一路数据;
	      "00111100"when "1101",		    --选择第二路数据;
			"01100110"when "1011",		    --选择第三路数据;
			"11110000"when "0111",		    --选择第四路数据;
			"11111111"when others; 

end Behavioral;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -