⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 cufaqi.vhd

📁 一些很好的FPGA设计实例
💻 VHD
字号:
---在process,function和procedure内部的代码都是顺序执行的,当作为一个整体时,他们与
---外部其他代码都是并发执行的
---变量只能在顺序代码中使用,相对于信号而言,变量是局部的,他的值不能传到process,function和procedure的外部
-------------------------------------------------
---带有异步复位端的d触发器
---当时钟信号发生变化(上升沿或下降沿)时,输出信号q的值将于当前的输入信号相同
-------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------
entity cufaqi is
 port (d,clk,rst: in std_logic;
       q: out std_logic);
end cufaqi;
-------------------------------------
architecture behavior of  cufaqi is
   begin
process(clk,rst)
   begin 
    if (rst = '1')then 
    q <= '0';
   elsif (clk 'event and clk ='1')then 
    q <= d;
    end if;
end process;
end behavior;
-----------------------------------------------

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -