📄 taxi.txt
字号:
else ff:=0;
end if;
end if;
if ff=6 then ff:=0;f:=0;
end if;
Ll3<=f;Ll4<=ff;
end process;
--------------------------------------------------------
----- 计程 ----
--------------------------------------------------------
process(clr,d_in,sec,st)
variable f,ff : integer range 0 to 10;
begin
if clr='1' then f:=0;ff:=0;
elsif rising_edge(sec) then
if st='1' then
if d_in='1' then
if ff<10 then
if f<9 then f:=f+1;
else ff:=ff+1;f:=0;
end if;
else ff:=0;
end if;
end if;
end if;
end if;
if ff=10 then ff:=0; end if;
Lll2<=f;Lll3<=ff;
end process;
*************************************
译码显示模块
----用四个七段译码显示管实现系统功能显示。
*************************************
process(sec,clr,choose,L1,L2,L3,L4,LL1,LL2,LL3,LL4,LLL2,LLL3)
variable c : std_logic_vector (1 downto 0);
--译码显示;
--“01”:总价格;
--“10”:总路程;
--“11”:总乘车时间;
begin
if clr='1' then c:="01";
elsif rising_edge(sec) then
if choose='1' then
if c<"11" then c:=c+1;
else c:="01"; end if;
else null;
end if;
end if;
if c="01" then led1<=L1;led2<=L2;
led3<=L3;led4<=L4;
elsif c="10" then led1<=10;led2<=LLL2;
led3<=LLL3;led4<=10;
else led1<=LL1;led2<=LL2;
led3<=LL3;led4<=LL4;
end if;
end process;
LED_1:process(Led1)
begin
case Led1 is
when 0=> L_1 <="0000001";
when 5=> L_1 <="0100100";
when 1=> L_1 <="1001111";
when 6=> L_1 <="1100000";
when 2=> L_1 <="0010010";
when 7=> L_1 <="0001111";
when 3=> L_1 <="0000110";
when 8=> L_1 <="0000000";
when 4=> L_1 <="1001100";
when 9=> L_1 <="0001100";
when others => L_1 <="1111111";
end case;
end process;
LED_2:process(Led2)
begin
case Led2 is
when 0=> L_2 <="0000001";
when 5=> L_2 <="0100100";
when 1=> L_2 <="1001111";
when 6=> L_2 <="1100000";
when 2=> L_2 <="0010010";
when 7=> L_2 <="0001111";
when 3=> L_2 <="0000110";
when 8=> L_2 <="0000000";
when 4=> L_2 <="1001100";
when 9=> L_2 <="0001100";
when others => L_2 <="1111111";
end case;
end process;
LED_3:process(Led3)
begin
case Led3 is
when 0=> L_3 <="0000001";
when 5=> L_3 <="0100100";
when 1=> L_3 <="1001111";
when 7=> L_3 <="0001111";
when 2=> L_3 <="0010010";
when 6=> L_3 <="1100000";
when 3=> L_3 <="0000110";
when 8=> L_3 <="0000000";
when 4=> L_3 <="1001100";
when 9=> L_3 <="0001100";
when others => L_3 <="1111111";
end case;
end process;
LED_4:process(Led4)
begin
case Led4 is
when 0=> L_4 <="0000001";
when 5=> L_4 <="0100100";
when 1=> L_4 <="1001111";
when 6=> L_4 <="1100000";
when 2=> L_4 <="0010010";
when 7=> L_4 <="0001111";
when 3=> L_4 <="0000110";
when 8=> L_4 <="0000000";
when 4=> L_4 <="1001100";
when 9=> L_4 <="0001100";
when others => L_4 <="1111111";
end case;
end process;
end Behavioral;
信息录入:lintel 责任编辑:lintel
上一条信息: 压控LC震荡器方案二
下一条信息: 热水器水温水位控制仪设计
【发表评论】【加入收藏】【告诉好友】【打印此文】【关闭窗口】
最新热点最新推荐相关信息
悬挂运动控制系统
基于FPGA的等精度频率计
多档位稳压电源
单工无线呼叫系统(D题)
R、L、C测量仪
FM调制器
压控LC震荡器方案二
压控LC振荡器方案一
低频数字式相位测量仪
没有推荐信息
基于FPGA的等精度频率计
多档位稳压电源
单工无线呼叫系统(D题)
测 量 放 大 器 设 计
R、L、C测量仪
FM调制器
热水器水温水位控制仪设计
压控LC震荡器方案二
压控LC振荡器方案一
低频数字式相位测量仪
网友评论:(只显示最新10条。评论内容只代表网友观点,与本站立场无关!)
没有任何评论
| 设为首页 | 加入收藏 | 联系站长 | 友情链接 | 版权申明 | 管理登录 |
《奔跑的单片机》All Rights Reserved Lintel 站长:驿风
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -