⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 command_fifo.vhd

📁 Viertex 2 开发板的接口程序
💻 VHD
📖 第 1 页 / 共 2 页
字号:
-------------------------------------------------------------------------------
-- $Id: command_fifo.vhd,v 1.1 2005/02/18 15:30:22 wirthlin Exp $
-------------------------------------------------------------------------------
-- srl_fifo.vhd
-------------------------------------------------------------------------------
--
--                  ****************************
--                  ** Copyright Xilinx, Inc. **
--                  ** All rights reserved.   **
--                  ****************************
--
-------------------------------------------------------------------------------
-- Filename:        
--
-- Description:     
--                  
-- VHDL-Standard:   VHDL'93
-------------------------------------------------------------------------------
-- Structure:   
--              
--
-------------------------------------------------------------------------------
-- Author:          goran
-- Revision:        $Revision: 1.1 $
-- Date:            $Date: 2005/02/18 15:30:22 $
--
-- History:
--
-------------------------------------------------------------------------------
-- Naming Conventions:
--      active low signals:                     "*_n"
--      clock signals:                          "clk", "clk_div#", "clk_#x" 
--      reset signals:                          "rst", "rst_n" 
--      generics:                               "C_*" 
--      user defined types:                     "*_TYPE" 
--      state machine next state:               "*_ns" 
--      state machine current state:            "*_cs" 
--      combinatorial signals:                  "*_com" 
--      pipelined or register delay signals:    "*_d#" 
--      counter signals:                        "*cnt*"
--      clock enable signals:                   "*_ce" 
--      internal version of output port         "*_i"
--      device pins:                            "*_pin" 
--      ports:                                  - Names begin with Uppercase 
--      processes:                              "*_PROCESS" 
--      component instantiations:               "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;

library UNISIM;
use UNISIM.all;
use UNISIM.vcomponents.all;

entity command_fifo is
  port (
    Clk         : in  std_logic;
    Reset       : in  std_logic;
    NextCommand : in  std_logic;
    CommandNum  : out std_logic_vector(8 downto 0);
    Data        : out std_logic_vector(15 downto 0);
    Address     : out std_logic_vector(6 downto 0);
    ValidCommand: out std_logic
    );
end entity command_fifo;


-- Commands for AC97:
--     WriteAC97Reg(0x0,0x0); // reset registers
--     WriteAC97Reg(0x2,0x808); // master volume (0db gain)
--     WriteAC97Reg(0xa,0x8000); // mute PC beep
--     WriteAC97Reg(0x4,0x808); // headphone vol (aux out)
--     WriteAC97Reg(0x18,0x808); // pcmoutvol (amp out line)
--     WriteAC97Reg(0x1a,0x404); // record source (line in for left and right)
--     WriteAC97Reg(0x1c,0x008); // record gain (8 steps of 1.5 dB = +12.0 dB)
--     WriteAC97Reg(0x20,0x1); // bypass 3d sound

-- 80000000
-- 80020808
-- 800a8000
-- 80040808
-- 80180808
-- 801a0404
-- 801c0008
-- 80200001

-- 80200001801c0008801a04048018080880040808800a80008002080880000000


architecture IMP of command_fifo is

  attribute INIT_00 : string;
  attribute INIT_01 : string;
  attribute INIT_02 : string;
  attribute INIT_03 : string;
  attribute INIT_04 : string;
  attribute INIT_05 : string;
  attribute INIT_06 : string;
  attribute INIT_07 : string;
  attribute INIT_08 : string;
  attribute INIT_09 : string;
  attribute INIT_0a : string;
  attribute INIT_0b : string;
  attribute INIT_0c : string;
  attribute INIT_0d : string;
  attribute INIT_0e : string;
  attribute INIT_0f : string;
  attribute INIT_10 : string;
  attribute INIT_11 : string;
  attribute INIT_12 : string;
  attribute INIT_13 : string;
  attribute INIT_14 : string;
  attribute INIT_15 : string;
  attribute INIT_16 : string;
  attribute INIT_17 : string;
  attribute INIT_18 : string;
  attribute INIT_19 : string;
  attribute INIT_1a : string;
  attribute INIT_1b : string;
  attribute INIT_1c : string;
  attribute INIT_1d : string;
  attribute INIT_1e : string;
  attribute INIT_1f : string;
  attribute INIT_20 : string;
  attribute INIT_21 : string;
  attribute INIT_22 : string;
  attribute INIT_23 : string;
  attribute INIT_24 : string;
  attribute INIT_25 : string;
  attribute INIT_26 : string;
  attribute INIT_27 : string;
  attribute INIT_28 : string;
  attribute INIT_29 : string;
  attribute INIT_2a : string;
  attribute INIT_2b : string;
  attribute INIT_2c : string;
  attribute INIT_2d : string;
  attribute INIT_2e : string;
  attribute INIT_2f : string;
  attribute INIT_30 : string;
  attribute INIT_31 : string;
  attribute INIT_32 : string;
  attribute INIT_33 : string;
  attribute INIT_34 : string;
  attribute INIT_35 : string;
  attribute INIT_36 : string;
  attribute INIT_37 : string;
  attribute INIT_38 : string;
  attribute INIT_39 : string;
  attribute INIT_3a : string;
  attribute INIT_3b : string;
  attribute INIT_3c : string;
  attribute INIT_3d : string;
  attribute INIT_3e : string;
  attribute INIT_3f : string;


  attribute INIT_00 of u1 : label is
    "80200001801c0008801a04048018080880040808800a80008002080880000000";
  attribute INIT_01 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_02 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_03 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_04 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_05 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_06 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_07 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_08 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_09 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0a of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0b of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0c of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0d of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0e of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_0f of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_10 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_11 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_12 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_13 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_14 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_15 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_16 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_17 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_18 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_19 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1a of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1b of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1c of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1d of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1e of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_1f of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_20 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_21 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_22 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_23 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_24 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_25 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_26 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_27 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_28 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_29 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2a of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2b of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2c of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2d of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2e of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_2f of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_30 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_31 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_32 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_33 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_34 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_35 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_36 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_37 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_38 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_39 of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3a of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3b of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3c of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3d of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3e of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";
  attribute INIT_3f of u1 : label is
    "0000000000000000000000000000000000000000000000000000000000000000";

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -