⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 yima.vhdl

📁 EDA课程设计(带完整设计报告)
💻 VHDL
字号:
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_arith.all;
Use ieee.std_logic_unsigned.all;
Entity yima is
   Port(sec1,sec2,min1,min2,hou1,hou2: in std_logic_vector(3 downto 0);
        s1,s2,m1,m2,h1,h2:out std_logic_vector(6 downto 0));             
End;
Architecture aaaa of yima is
  Begin
Process(sec1)
Begin
   Case sec1 is
  when "0000"=>s1<="1000000";
  when "0001"=>s1<="1111001";
  when "0010"=>s1<="0100100";
  when "0011"=>s1<="0110000";
  when "0100"=>s1<="0011001";
  when "0101"=>s1<="0010010";
  when "0110"=>s1<="0000010";
  when "0111"=>s1<="1111000";
  when "1000"=>s1<="0000000";
  when "1001"=>s1<="0010000";
  when others=>s1<="XXXXXXX";
end case;
end process;

Process(sec2)
Begin
   Case sec2 is
  when "0000"=>s2<="1000000";
  when "0001"=>s2<="1111001";
  when "0010"=>s2<="0100100";
  when "0011"=>s2<="0110000";
  when "0100"=>s2<="0011001";
  when "0101"=>s2<="0010010";
  when "0110"=>s2<="0000010";
  when "0111"=>s2<="1111000";
  when "1000"=>s2<="0000000";
  when "1001"=>s2<="0010000";
  when others=>s2<="XXXXXXX";
end case;
end process;

Process(min1)
Begin
   Case min1 is
  when "0000"=>m1<="1000000";
  when "0001"=>m1<="1111001";
  when "0010"=>m1<="0100100";
  when "0011"=>m1<="0110000";
  when "0100"=>m1<="0011001";
  when "0101"=>m1<="0010010";
  when "0110"=>m1<="0000010";
  when "0111"=>m1<="1111000";
  when "1000"=>m1<="0000000";
  when "1001"=>m1<="0010000";
  when others=>m1<="XXXXXXX";
end case;
end process;

Process(min2)
Begin
   Case min2 is
  when "0000"=>m2<="1000000";
  when "0001"=>m2<="1111001";
  when "0010"=>m2<="0100100";
  when "0011"=>m2<="0110000";
  when "0100"=>m2<="0011001";
  when "0101"=>m2<="0010010";
  when "0110"=>m2<="0000010";
  when "0111"=>m2<="1111000";
  when "1000"=>m2<="0000000";
  when "1001"=>m2<="0010000";
  when others=>m2<="XXXXXXX";
end case;
end process;

Process(hou1)
Begin
   Case hou1 is
  when "0000"=>h1<="1000000";
  when "0001"=>h1<="1111001";
  when "0010"=>h1<="0100100";
  when "0011"=>h1<="0110000";
  when "0100"=>h1<="0011001";
  when "0101"=>h1<="0010010";
  when "0110"=>h1<="0000010";
  when "0111"=>h1<="1111000";
  when "1000"=>h1<="0000000";
  when "1001"=>h1<="0010000";
  when others=>h1<="XXXXXXX";
end case;
end process;

Process(hou2)
Begin
   Case hou2 is
  when "0000"=>h2<="1000000";
  when "0001"=>h2<="1111001";
  when "0010"=>h2<="0100100";
  when "0011"=>h2<="0110000";
  when "0100"=>h2<="0011001";
  when "0101"=>h2<="0010010";
  when "0110"=>h2<="0000010";
  when "0111"=>h2<="1111000";
  when "1000"=>h2<="0000000";
  when "1001"=>h2<="0010000";
  when others=>h2<="XXXXXXX";
end case;
end process;
end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -