⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 dff.vhd

📁 利用Ti公司的TMSvc320c6711开发板的基础上搭建的移动视频电话系统。程序中主要实现3个功能:实时捕捉视频和音频数据;能够对视频和音频数据进行解码和存储;能够通过GPRS通信口传输音/视频压缩
💻 VHD
字号:
102
-- Author: Elliot Hill
--
-- Description: This module represents the VHDL equivalent of
-- a T flip flop; One that toggles with every clock
-- pulse, if the T input is active.
--
-- Last Modified: 25 September 2001
--
library ieee;
use ieee.std_logic_1164.all;
entity TFF is
port (T,clk,reset: in BIT;
Q: out BIT);
end;
architecture TFF of TFF is
signal D : BIT := '0';
begin
process (clk,reset)
begin
if (reset = '1') then
D <= '0';
elsif (clk = '1' and not clk'STABLE) then
if (T = '1') then
D <= not D;
end if;
end if;
Q <= D;
end process;
end TFF;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -