📄 test47.vhd
字号:
library ieee;
use ieee.std_logic_1164.all;
entity test47 is
port(en :in bit;
num:in bit_vector(3 downto 0);
q: out bit_vector(0 to 6)
);
end;
architecture behav of test47 is
begin
process(en,num)
begin
if en='0' then
case num is
when "0000"=>q<="1111110";
when "0001"=>q<="0110000";
when "0010"=>q<="1101101";
when "0011"=>q<="1111001";
when "0100"=>q<="0110011";
when "0101"=>q<="1011011";
when "0110"=>q<="1011111";
when "0111"=>q<="1110000";
when "1000"=>q<="1111111";
when "1001"=>q<="1111011";
when others=>null;
end case;
end if;
end process;
end behav;
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -