⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 atmel_components.vhd

📁 The GRLIB IP Library is an integrated set of reusable IP cores, designed for system-on-chip (SOC) de
💻 VHD
字号:
------------------------------------------------------------------------------  This file is a part of the GRLIB VHDL IP LIBRARY--  Copyright (C) 2004 GAISLER RESEARCH----  This program is free software; you can redistribute it and/or modify--  it under the terms of the GNU General Public License as published by--  the Free Software Foundation; either version 2 of the License, or--  (at your option) any later version.----  See the file COPYING for the full details of the license.--------------------------------------------------------------------------------- Package: 	atmel_components-- File:	atmel_components.vhd-- Author:	Jiri Gaisler - Gaisler Research-- Description:	ATMEL ATC18 component declarations------------------------------------------------------------------------------library ieee;use ieee.std_logic_1164.all;package components is  -- input pad  component pc33d00 port (pad : in std_logic; cin : out std_logic); end component;   -- input pad with pull-up  component pc33d00u port (pad : in std_logic; cin : out std_logic); end component;   -- schmitt input pad  component pc33d20 port (pad : in std_logic; cin : out std_logic); end component;   -- schmitt input pad with pull-up  component pt33d20u port (pad : inout std_logic; cin : out std_logic); end component;   -- output pads  component pt33o01 port (i : in std_logic; pad : out std_logic); end component;   component pt33o02 port (i : in std_logic; pad : out std_logic); end component;   component pt33o03 port (i : in std_logic; pad : out std_logic); end component;   component pt33o04 port (i : in std_logic; pad : out std_logic); end component;   -- tri-state output pads  component pt33t01 port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t02 port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t03 port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t04 port (i, oen : in std_logic; pad : out std_logic); end component;   -- tri-state output pads with pull-up  component pt33t01u port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t02u port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t03u port (i, oen : in std_logic; pad : out std_logic); end component;   component pt33t04u port (i, oen : in std_logic; pad : out std_logic); end component;   -- bidirectional pads  component pt33b01    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b02    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b03    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b04    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   -- bidirectional pads with pull-up  component pt33b01u    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b02u    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b03u    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pt33b04u    port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component; --PCI pads  component pp33o01     port (i : in  std_logic; pad : out  std_logic);  end component;   component pp33b015vt     port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);  end component;   component pp33t015vt     port (i, oen : in  std_logic; pad : out  std_logic);  end component;end;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -