📄 altera_mf.vhd
字号:
-- Copyright (C) 1988-2004 Altera Corporation-- Any megafunction design, and related netlist (encrypted or decrypted),-- support information, device programming or simulation file, and any other-- associated documentation or information provided by Altera or a partner-- under Altera's Megafunction Partnership Program may be used only-- to program PLD devices (but not masked PLD devices) from Altera. Any-- other use of such megafunction design, netlist, support information,-- device programming or simulation file, or any other related documentation-- or information is prohibited for any other purpose, including, but not-- limited to modification, reverse engineering, de-compiling, or use with-- any other silicon devices, unless such use is explicitly licensed under-- a separate agreement with Altera or a megafunction partner. Title to the-- intellectual property, including patents, copyrights, trademarks, trade-- secrets, or maskworks, embodied in any such megafunction design, netlist,-- support information, device programming or simulation file, or any other-- related documentation or information provided by Altera or a megafunction-- partner, remains with Altera, the megafunction partner, or their respective-- licensors. No other licenses, including any licenses needed under any third-- party's intellectual property, are provided herein.-- pragma translate_off-- Quartus II 4.0 Build 214 1/28/2004---START_PACKAGE_HEADER--------------------------------------------------------- Package Name : ALTERA_DEVICE_FAMILIES---- Description : Common Altera device families comparison-----END_PACKAGE_HEADER---------------------------------------------------------- BEGINING OF PRIMITIVESLibrary ieee;use ieee.std_logic_1164.all;entity LCELL is port( a_in : in std_logic; a_out : out std_logic);end LCELL;architecture BEHAVIOR of LCELL isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity GLOBAL is port( a_in : in std_logic; a_out : out std_logic);end GLOBAL;architecture BEHAVIOR of GLOBAL isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CARRY is port( a_in : in std_logic; a_out : out std_logic);end CARRY;architecture BEHAVIOR of CARRY isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CASCADE is port( a_in : in std_logic; a_out : out std_logic);end CASCADE;architecture BEHAVIOR of CASCADE isbegin a_out <= a_in;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity CARRY_SUM is port( sin : in std_logic; cin : in std_logic; sout : out std_logic; cout : out std_logic);end CARRY_SUM;architecture BEHAVIOR of CARRY_SUM isbegin sout <= sin; cout <= cin;end BEHAVIOR;Library ieee;use ieee.std_logic_1164.all;entity EXP is port( a_in : in std_logic; a_out : out std_logic);end EXP;architecture BEHAVIOR of EXP isbegin a_out <= not a_in;end BEHAVIOR;-- BEGINING OF PACKAGESLibrary ieee;use ieee.std_logic_1164.all;-- PACKAGE DECLARATIONpackage ALTERA_DEVICE_FAMILIES is-- FUNCTION DECLARATION function IS_VALID_FAMILY (device: in string) return boolean; function IS_FAMILY_APEX20K (device : in string) return boolean; function IS_FAMILY_APEX20KE (device : in string) return boolean; function IS_FAMILY_APEXII (device : in string) return boolean; function IS_FAMILY_ACEX2K (device : in string) return boolean; function IS_FAMILY_STRATIXGX (device : in string) return boolean; function IS_FAMILY_STRATIX (device : in string) return boolean; function IS_FAMILY_STRATIXHC (device : in string) return boolean; function IS_FAMILY_MERCURY (device : in string) return boolean; function IS_FAMILY_FLEX10KE (device : in string) return boolean; function IS_FAMILY_FLEX10K (device : in string) return boolean; function IS_FAMILY_FLEX10KA (device : in string) return boolean; function IS_FAMILY_FLEX6000 (device : in string) return boolean; function IS_FAMILY_MAX7000B (device : in string) return boolean; function IS_FAMILY_MAX7000AE (device : in string) return boolean; function IS_FAMILY_MAX3000A (device : in string) return boolean; function IS_FAMILY_MAX7000S (device : in string) return boolean; function IS_FAMILY_MAX7000A (device : in string) return boolean; function IS_FAMILY_STRATIXII (device : in string) return boolean; function IS_FAMILY_MAXII (device : in string) return boolean;end ALTERA_DEVICE_FAMILIES;package body ALTERA_DEVICE_FAMILIES isfunction IS_VALID_FAMILY (device : in string) return boolean isvariable is_valid : boolean := false;begin if (IS_FAMILY_APEX20K(device) or IS_FAMILY_APEX20KE(device) or IS_FAMILY_APEXII( device) or IS_FAMILY_ACEX2K(device) or IS_FAMILY_STRATIXGX(device) or IS_FAMILY_STRATIX(device) or IS_FAMILY_MERCURY(device) or IS_FAMILY_FLEX10KE(device) or IS_FAMILY_FLEX10K(device) or IS_FAMILY_FLEX10KA(device) or IS_FAMILY_FLEX6000(device) or IS_FAMILY_MAX7000B(device) or IS_FAMILY_MAX7000AE(device) or IS_FAMILY_MAX3000A(device) or IS_FAMILY_MAX7000S(device) or IS_FAMILY_MAX7000A(device) or IS_FAMILY_STRATIXII(device) or IS_FAMILY_STRATIXHC(device) or IS_FAMILY_MAXII(device)) then is_valid := true; end if; return is_valid;end IS_VALID_FAMILY;function IS_FAMILY_APEX20K (device : in string) return boolean isvariable is_20k : boolean := false;begin if (device = "APEX20K") then is_20k := true; end if; return is_20k;end IS_FAMILY_APEX20K;function IS_FAMILY_APEX20KE (device : in string) return boolean isvariable is_20ke : boolean := false;begin if ((device = "APEX20KE") or (device = "APEX20KC") or (device = "EXCALIBUR_ARM") or (device = "EXCALIBUR_MIPS")) then is_20ke := true; end if; return is_20ke;end IS_FAMILY_APEX20KE;function IS_FAMILY_APEXII (device : in string) return boolean isvariable is_apexii : boolean := false;begin if ((device = "APEX II") or (device = "APEXII")) then is_apexii := true; end if; return is_apexii;end IS_FAMILY_APEXII;function IS_FAMILY_ACEX2K (device : in string) return boolean isvariable is_acex2k : boolean := false;begin if ((device = "CYCLONE") or (device = "Cyclone")) then is_acex2k := true; end if; return is_acex2k;end IS_FAMILY_ACEX2K;function IS_FAMILY_STRATIXGX (device : in string) return boolean isvariable is_stratixgx : boolean := false;begin if ((device = "STRATIX-GX") or (device = "STRATIX GX") or (device = "Stratix GX")) then is_stratixgx := true; end if; return is_stratixgx;end IS_FAMILY_STRATIXGX;function IS_FAMILY_STRATIX (device : in string) return boolean isvariable is_stratix : boolean := false;begin if ((device = "STRATIX") or (device = "Stratix")) then is_stratix := true; end if; return is_stratix;end IS_FAMILY_STRATIX;function IS_FAMILY_STRATIXHC (device : in string) return boolean isvariable is_stratixhc : boolean := false;begin if ((device = "STRATIXHC") or (device = "StratixHC") or (device = "STRATIX HC") or (device = "Stratix HC") or (device = "HardCopy Stratix") or (device = "HARDCOPY STRATIX")) then is_stratixhc := true; end if; return is_stratixhc;end IS_FAMILY_STRATIXHC;function IS_FAMILY_MERCURY (device : in string) return boolean isvariable is_mercury : boolean := false;begin if ((device = "MERCURY") or (device = "Mercury")) then is_mercury := true; end if; return is_mercury;end IS_FAMILY_MERCURY;function IS_FAMILY_FLEX10KE (device : in string) return boolean isvariable is_flex10ke : boolean := false;begin if ((device = "FLEX10KE") or (device = "FLEX 10KE") or (device = "ACEX1K") or (device = "ACEX 1K")) then is_flex10ke := true; end if; return is_flex10ke;end IS_FAMILY_FLEX10KE;function IS_FAMILY_FLEX10K (device : in string) return boolean isvariable is_flex10k : boolean := false;begin if ((device = "FLEX10K") or (device = "flex10k") or (device = "FLEX 10K") or (device = "flex 10k")) then is_flex10k := true; end if; return is_flex10k;end IS_FAMILY_FLEX10K;function IS_FAMILY_FLEX10KA (device : in string) return boolean isvariable is_flex10ka : boolean := false;begin if ((device = "FLEX10KA") or (device = "flex10ka") or (device = "FLEX 10KA") or (device = "flex 10ka")) then is_flex10ka := true; end if; return is_flex10ka;end IS_FAMILY_FLEX10KA;function IS_FAMILY_FLEX6000 (device : in string) return boolean isvariable is_flex6000 : boolean := false;begin if ((device = "FLEX6000") or (device = "flex6000") or (device = "FLEX 6000") or (device = "flex 6000") or (device = "FLEX6K") or (device = "flex6k")) then is_flex6000 := true; end if; return is_flex6000;end IS_FAMILY_FLEX6000;function IS_FAMILY_MAX7000B (device : in string) return boolean isvariable is_max7000b : boolean := false;begin if ((device = "MAX7000B") or (device = "max7000b") or (device = "MAX 7000B") or (device = "max 7000b")) then is_max7000b := true; end if; return is_max7000b;end IS_FAMILY_MAX7000B;function IS_FAMILY_MAX7000AE (device : in string) return boolean isvariable is_max7000ae : boolean := false;begin if ((device = "MAX7000AE") or (device = "max7000ae") or (device = "MAX 7000AE") or (device = "max 7000ae")) then is_max7000ae := true; end if; return is_max7000ae;end IS_FAMILY_MAX7000AE;function IS_FAMILY_MAX3000A (device : in string) return boolean isvariable is_max3000a : boolean := false;begin if ((device = "MAX3000A") or (device = "max3000a") or (device = "MAX 3000A") or (device = "max 3000a")) then is_max3000a := true; end if; return is_max3000a;end IS_FAMILY_MAX3000A;function IS_FAMILY_MAX7000S (device : in string) return boolean isvariable is_max7000s : boolean := false;begin if ((device = "MAX7000S") or (device = "max7000s") or (device = "MAX 7000S") or (device = "max 7000s")) then is_max7000s := true; end if; return is_max7000s;end IS_FAMILY_MAX7000S;function IS_FAMILY_MAX7000A (device : in string) return boolean isvariable is_max7000a : boolean := false;begin if ((device = "MAX7000A") or (device = "max7000a") or (device = "MAX 7000A") or (device = "max 7000a")) then is_max7000a := true; end if; return is_max7000a;end IS_FAMILY_MAX7000A;function IS_FAMILY_STRATIXII (device : in string) return boolean isvariable is_stratixii : boolean := false;begin if ((device = "Stratix II") or (device = "StratixII")) then is_stratixii := true; end if; return is_stratixii;end IS_FAMILY_STRATIXII;function IS_FAMILY_MAXII (device : in string) return boolean isvariable is_maxii : boolean := false;begin if ((device = "MAX II") or (device = "max ii") or (device = "MAXII") or (device = "maxii")) then is_maxii := true; end if; return is_maxii;end IS_FAMILY_MAXII;end ALTERA_DEVICE_FAMILIES;-- END OF PACKAGE---START_PACKAGE_HEADER--------------------------------------------------------- Package Name : ALTERA_COMMON_CONVERSION---- Description : Common conversion functions-----END_PACKAGE_HEADER---------------------------------------------------------- BEGINING OF PACKAGELibrary ieee;use ieee.std_logic_1164.all;use std.textio.all;-- PACKAGE DECLARATIONpackage ALTERA_COMMON_CONVERSION is-- FUNCTION DECLARATION
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -