📄 symbol.sb
字号:
DocumentHdrVersion "1.1"
Header (DocumentHdr
language 1
packageRefs [
]
)
version "10.1"
appVersion "2000.3 (Build 2)"
model (Symbol
VExpander (VariableExpander
vvMap [
(vvPair
variable "d"
value "intra_assignment"
)
(vvPair
variable "date"
value "01/12/2002"
)
(vvPair
variable "day"
value "Sat"
)
(vvPair
variable "day_long"
value "Saturday"
)
(vvPair
variable "dd"
value "12"
)
(vvPair
variable "f"
value "symbol.sb"
)
(vvPair
variable "group"
value "UNKNOWN"
)
(vvPair
variable "host"
value "LMC"
)
(vvPair
variable "library"
value "timing"
)
(vvPair
variable "mm"
value "01"
)
(vvPair
variable "month"
value "Jan"
)
(vvPair
variable "month_long"
value "January"
)
(vvPair
variable "p"
value "E:\\TOOLS\\Verilog_code\\timing\\src\\intra_assignment\\symbol.sb"
)
(vvPair
variable "time"
value "08:53:12 AM"
)
(vvPair
variable "unit"
value "intra_assignment"
)
(vvPair
variable "user"
value "Administrator"
)
(vvPair
variable "version"
value "2000.3 (Build 2)"
)
(vvPair
variable "view"
value "symbol"
)
(vvPair
variable "year"
value "2002"
)
(vvPair
variable "yy"
value "02"
)
]
)
uid 51
optionalChildren [
*1 (SymbolBody
uid 8
optionalChildren [
*2 (CptPort
uid 52
ps "OnEdgeStrategy"
shape (Diamond
va (VaSet
fg "0,65535,0"
)
xt "14250,13625,15000,14375"
ro 270
)
oxt "0,0,750,750"
name "d"
type "wire"
mode 2
orderNo 3
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
uid 54
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
name (Text
uid 55
va (VaSet
font "arial,10,0"
)
xt "16000,13350,16600,14650"
st "d"
tm "CptPortNameMgr"
)
)
declText (Text
uid 56
va (VaSet
font "Courier New,8,0"
)
xt "2000,6400,9500,7200"
st "inout wire d;
"
)
)
*3 (CptPort
uid 57
ps "OnEdgeStrategy"
shape (Triangle
uid 58
va (VaSet
fg "0,65535,0"
)
xt "35000,13625,35750,14375"
ro 90
)
oxt "0,0,750,750"
name "q1"
type "wire"
mode 1
orderNo 1
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
uid 59
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
name (Text
uid 60
va (VaSet
font "arial,10,0"
)
xt "32800,13350,34000,14650"
st "q1"
ju 2
tm "CptPortNameMgr"
)
)
declText (Text
uid 61
va (VaSet
font "Courier New,8,0"
)
xt "2000,4400,10000,5200"
st "output wire q1;
"
)
)
*4 (CptPort
uid 62
ps "OnEdgeStrategy"
shape (Triangle
uid 63
va (VaSet
fg "0,65535,0"
)
xt "35000,17625,35750,18375"
ro 90
)
oxt "0,0,750,750"
name "q2"
type "wire"
mode 1
orderNo 2
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
uid 64
ps "CptPortTextPlaceStrategy"
stg "RightVerticalLayoutStrategy"
name (Text
uid 65
va (VaSet
font "arial,10,0"
)
xt "32800,17350,34000,18650"
st "q2"
ju 2
tm "CptPortNameMgr"
)
)
declText (Text
uid 66
va (VaSet
font "Courier New,8,0"
)
xt "2000,5400,10000,6200"
st "output wire q2;
"
)
)
*5 (CptPort
uid 70
ps "OnEdgeStrategy"
shape (Triangle
uid 71
va (VaSet
fg "0,65535,0"
)
xt "14250,22625,15000,23375"
ro 90
)
oxt "0,0,750,750"
name "clk"
type "wire"
orderNo 4
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
uid 72
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
name (Text
uid 73
va (VaSet
font "arial,10,0"
)
xt "16000,22350,17200,23650"
st "clk"
tm "CptPortNameMgr"
)
)
declText (Text
uid 74
va (VaSet
font "Courier New,8,0"
)
xt "2000,7400,10500,8200"
st "input wire clk;
"
)
)
]
shape (Rectangle
uid 9
va (VaSet
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,35000,27000"
)
oxt "15000,6000,35000,26000"
biTextGroup (BiTextGroup
uid 10
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
uid 11
va (VaSet
font "arial,12,1"
)
xt "22500,14400,26000,15900"
st "timing"
wrapOption 2
)
second (Text
uid 12
va (VaSet
font "arial,12,1"
)
xt "22500,16100,33200,17600"
st "intra_assignment"
wrapOption 2
)
)
gi *6 (GenericInterface
uid 13
ps "CenterOffsetStrategy"
matrix (Matrix
uid 14
text (Text
uid 15
va (VaSet
font "Courier New,10,0"
isHidden 1
)
xt "0,12000,0,12000"
)
header "Generic Declarations"
)
elements [
]
)
ordering 1
)
*7 (Grouping
uid 16
optionalChildren [
*8 (CommentText
uid 18
shape (Rectangle
uid 19
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "16000,27000,35000,29000"
)
padding "200,200"
oxt "45000,22000,64000,24000"
text (Text
uid 20
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "16200,27350,29900,28650"
st "
<enter project name here>"
wrapOption 3
visibleHeight 1600
visibleWidth 18600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*9 (CommentText
uid 21
shape (Rectangle
uid 22
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-16000,27000,10000,29000"
)
padding "200,200"
oxt "13000,22000,39000,24000"
text (Text
uid 23
va (VaSet
fg "32768,0,0"
font "Arial,12,1"
lineWidth -1
)
xt "-8400,27250,2400,28750"
st "
<company name>"
wrapOption 3
visibleHeight 1600
visibleWidth 25600
ju 0
tm "CommentText"
)
position 1
ignorePrefs 1
)
*10 (CommentText
uid 24
shape (Rectangle
uid 25
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-11000,33000,10000,35000"
)
padding "200,200"
oxt "18000,28000,39000,30000"
text (Text
uid 26
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-10800,33350,5700,34650"
st "
by %user on %dd %month %year"
wrapOption 3
visibleHeight 1600
visibleWidth 20600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*11 (CommentText
uid 27
shape (Rectangle
uid 28
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "10000,27000,16000,29000"
)
padding "200,200"
oxt "39000,22000,45000,24000"
text (Text
uid 29
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "10200,27350,13800,28650"
st "
Project:"
wrapOption 3
visibleHeight 1600
visibleWidth 5600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*12 (CommentText
uid 30
shape (Rectangle
uid 31
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-11000,29000,10000,31000"
)
padding "200,200"
oxt "18000,24000,39000,26000"
text (Text
uid 32
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-10800,29350,2700,30650"
st "
<enter diagram title here>"
wrapOption 3
visibleHeight 1600
visibleWidth 20600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*13 (CommentText
uid 33
shape (Rectangle
uid 34
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-16000,29000,-11000,31000"
)
padding "200,200"
oxt "13000,24000,18000,26000"
text (Text
uid 35
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-15800,29350,-13300,30650"
st "
Title:"
wrapOption 3
visibleHeight 1600
visibleWidth 4600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*14 (CommentText
uid 36
shape (Rectangle
uid 37
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-16000,31000,-11000,33000"
)
padding "200,200"
oxt "13000,26000,18000,28000"
text (Text
uid 38
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-15800,31350,-13300,32650"
st "
Path:"
wrapOption 3
visibleHeight 1600
visibleWidth 4600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*15 (CommentText
uid 39
shape (Rectangle
uid 40
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "10000,29000,35000,35000"
)
padding "200,200"
oxt "39000,24000,64000,30000"
text (Text
uid 41
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "10200,29200,22500,30500"
st "
<enter comments here>"
wrapOption 3
visibleHeight 5600
visibleWidth 24600
tm "CommentText"
)
ignorePrefs 1
)
*16 (CommentText
uid 42
shape (Rectangle
uid 43
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-11000,31000,10000,33000"
)
padding "200,200"
oxt "18000,26000,39000,28000"
text (Text
uid 44
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-10800,31350,300,32650"
st "
%library/%unit/%view"
wrapOption 3
visibleHeight 1600
visibleWidth 20600
tm "CommentText"
)
position 1
ignorePrefs 1
)
*17 (CommentText
uid 45
shape (Rectangle
uid 46
sl 0
va (VaSet
fg "65280,65280,46080"
)
xt "-16000,33000,-11000,35000"
)
padding "200,200"
oxt "13000,28000,18000,30000"
text (Text
uid 47
va (VaSet
fg "0,0,32768"
bg "0,0,32768"
lineWidth -1
)
xt "-15800,33350,-12400,34650"
st "
Edited:"
wrapOption 3
visibleHeight 1600
visibleWidth 4600
tm "CommentText"
)
position 1
ignorePrefs 1
)
]
shape (GroupingShape
uid 17
va (VaSet
fg "65535,65535,65535"
lineStyle 2
lineWidth 1
)
xt "-16000,27000,35000,35000"
)
padding "1000,1000"
oxt "13000,22000,64000,30000"
)
*18 (CommentText
uid 67
ps "EdgeToEdgeStrategy"
shape (Rectangle
uid 68
layer 0
va (VaSet
fg "65280,65280,46080"
lineColor "0,0,32768"
)
xt "0,8200,15000,13200"
)
padding "200,200"
oxt "0,0,15000,5000"
text (Text
uid 69
va (VaSet
fg "0,0,32768"
font "arial,10,0"
)
xt "200,8400,10000,11000"
st "
`resetall
`timescale 1ns/10ps"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
tm "CommentText"
)
included 4
excludeCommentLeader 1
)
]
LanguageMgr "VerilogLangMgr"
bg "65535,65535,65535"
grid (Grid
origin "0,0"
isVisible 1
isActive 1
xSpacing 1000
xySpacing 1000
xShown 1
yShown 1
color "26368,26368,26368"
)
packageList *19 (PackageList
uid 48
isHidden 1
matrix (Matrix
uid 49
ps "OnEdgeStrategy"
text (Text
uid 50
va (VaSet
font "arial,10,0"
isHidden 1
)
xt "20000,0,31400,5200"
st "Package List
ieee std_logic_1164
ieee std_logic_arith
"
)
header "Package List"
)
packageRefs [
(PackageRef
library "ieee"
unitName "std_logic_1164"
)
(PackageRef
library "ieee"
unitName "std_logic_arith"
)
]
InheritFromParent 1
)
windowSize "0,0,730,500"
viewArea "0,0,0,0"
defaultCommentText (CommentText
shape (Rectangle
layer 0
va (VaSet
fg "65280,65280,46080"
lineColor "0,0,32768"
)
xt "0,0,15000,5000"
)
padding "200,200"
text (Text
va (VaSet
fg "0,0,32768"
font "arial,10,0"
)
xt "200,200,2300,1500"
st "
Text"
wrapOption 3
visibleHeight 4600
visibleWidth 14600
tm "CommentText"
)
)
defaultPanel (Panel
shape (RectFrame
va (VaSet
fg "65535,65535,65535"
lineColor "32768,0,0"
lineWidth 3
)
xt "0,0,20000,20000"
)
title (TextAssociate
ps "TopLeftStrategy"
text (Text
va (VaSet
font "arial,10,1"
)
xt "1000,1000,4400,2200"
st "Panel0"
tm "PanelText"
)
)
)
parentViewRef (DesignUnitViewRef
libraryName ""
duName ""
viewName ""
)
defaultSymbolBody (SymbolBody
shape (Rectangle
va (VaSet
fg "0,65535,0"
lineColor "0,32896,0"
lineWidth 2
)
xt "15000,6000,58000,26000"
)
biTextGroup (BiTextGroup
ps "CenterOffsetStrategy"
stg "VerticalLayoutStrategy"
first (Text
va (VaSet
font "arial,12,1"
)
xt "34000,14400,39000,15900"
st "<library>"
wrapOption 2
)
second (Text
va (VaSet
font "arial,12,1"
)
xt "34000,16100,37400,17600"
st "<cell>"
wrapOption 2
)
)
gi *20 (GenericInterface
ps "CenterOffsetStrategy"
matrix (Matrix
text (Text
va (VaSet
font "Courier New,10,0"
isHidden 1
)
xt "0,12000,0,12000"
)
header "Generic Declarations"
)
elements [
]
)
)
defaultCptPort (CptPort
ps "OnEdgeStrategy"
shape (Triangle
va (VaSet
fg "0,65535,0"
)
xt "0,0,750,750"
ro 90
)
name "In0"
type "std_logic_vector"
bounds "(15 DOWNTO 0)"
orderNo 0
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
name (Text
va (VaSet
font "arial,10,0"
)
xt "0,750,18800,2050"
st "In0 : std_logic_vector(15 DOWNTO 0)"
tm "CptPortNameMgr"
)
)
declText (Text
va (VaSet
font "Courier New,8,0"
)
)
)
defaultCptPortBuffer (CptPort
ps "OnEdgeStrategy"
shape (Diamond
va (VaSet
fg "65535,65535,65535"
bg "0,0,0"
)
xt "0,0,750,750"
)
name "Buffer0"
type "std_logic_vector"
bounds "(15 DOWNTO 0)"
mode 3
orderNo 0
display 0
showType 0
shortForm 1
tg (CptPortTextGroup
ps "CptPortTextPlaceStrategy"
stg "VerticalLayoutStrategy"
name (Text
va (VaSet
font "arial,10,0"
)
xt "0,750,20700,2050"
st "Buffer0 : std_logic_vector(15 DOWNTO 0)"
tm "CptPortNameMgr"
)
)
declText (Text
va (VaSet
font "Courier New,8,0"
)
)
)
DeclarativeBlock *21 (SymDeclBlock
uid 1
stg "SymDeclLayoutStrategy"
declLabel (Text
uid 2
va (VaSet
font "arial,10,1"
)
xt "0,0,6800,1200"
st "Declarations"
)
portLabel (Text
uid 3
va (VaSet
font "arial,10,1"
)
xt "0,3000,2900,4200"
st "Ports:"
)
externalLabel (Text
uid 4
va (VaSet
font "arial,10,1"
)
xt "0,1400,2600,2600"
st "User:"
)
internalLabel (Text
uid 6
va (VaSet
font "arial,10,1"
isHidden 1
)
xt "0,0,7200,1200"
st "Internal User:"
)
externalText (Text
uid 5
va (VaSet
font "Courier New,8,0"
)
xt "2000,2800,2000,2800"
tm "SyDeclarativeTextMgr"
)
internalText (Text
uid 7
va (VaSet
font "Courier New,8,0"
isHidden 1
)
xt "16000,0,16000,0"
tm "SyDeclarativeTextMgr"
)
)
lastUid 74
)
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -