⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 pipeline_pkg.vhd

📁 vhdl语言编程软件应用于学习教程。适合于初学者进行vhdl语言的学习。
💻 VHD
字号:
library ieee;
use ieee.std_logic_1164.all;

package pipeline_pkg is
    type command_type is (MOVE, ADD, SUB, MUL, CJE, LOAD, READ, NOP);
    type register_type is (reg0, reg1, reg2, reg3, reg4, reg5, reg6, 
                           reg7, reg8, reg9, reg10, reg11, reg12, 
                           reg13, reg14, reg15);
    type array_size is array (0 to 15) of std_logic_vector(31 downto 0);
    constant ZERO : std_logic_vector(31 downto 0) := (others => '0');
end pipeline_pkg;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -