⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 nios_module_fast_output_register.vhd

📁 ALTERA的NIOS处理器!文件直接可以打开直接选择器件重新编译!
💻 VHD
字号:
library altera_vhdl_support;
use altera_vhdl_support.altera_vhdl_support_lib.all;

library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity nios_module_fast_output_register is 
        port (
              -- inputs:
                 signal clk : IN STD_LOGIC;
                 signal clrn : IN STD_LOGIC;
                 signal d : IN STD_LOGIC;
                 signal ena : IN STD_LOGIC;
                 signal prn : IN STD_LOGIC;

              -- outputs:
                 signal q : OUT STD_LOGIC
              );

end entity nios_module_fast_output_register;


architecture europa of nios_module_fast_output_register is
component dffe is 
           port (
                 -- inputs:
                    signal clk : IN STD_LOGIC;
                    signal clrn : IN STD_LOGIC;
                    signal d : IN STD_LOGIC;
                    signal ena : IN STD_LOGIC;
                    signal prn : IN STD_LOGIC;

                 -- outputs:
                    signal q : OUT STD_LOGIC
                 );
end component dffe;

              signal internal_q1 :  STD_LOGIC;
              signal reset_n :  STD_LOGIC;

begin

  my_dffe : dffe
    port map(
      q => internal_q1,
      prn => prn,
      clk => clk,
      ena => ena,
      clrn => clrn,
      d => d
    );


  --vhdl renameroo for output signals
  q <= internal_q1;
end europa;

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -