⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 constraints.tcl

📁 hdlc_receive_code.rar
💻 TCL
字号:
#Create user set CLK_PORT [get_ports ihdlc_RXCLK]#set CLK_PORT1 [get_ports pad_iOsc]set CLK_PERIOD 50 set DRIVE_CELL POL8Wset LOAD SP018W_V1p4_max/PISDW/PADset MAX_BLOCK 5set MAX_CAPACITANCE 1set MAX_FANOUT 15set MAX_TRANSITION 1set INPUT_DELAY 5set OUTPUT_DELAY 5set ALL_INS_EX_CLK [remove_from_collection [all_inputs] [get_ports ihdlc_RXCLK]]#set ALL_INS_EX_CLK [remove_from_collection $ALL_INS_EX_CLK0 [get_ports pad_iOsc]]#Reset the designreset_design#Operating Environmentset_operating_conditions slowset_wire_load_model -name ForQAset_wire_load_mode enclosedset_driving_cell -lib_cell $DRIVE_CELL $ALL_INS_EX_CLKset_load -pin_load [expr [load_of $LOAD] * $MAX_BLOCK] [all_outputs]#Design Rule Constraintsset_max_capacitance $MAX_CAPACITANCE $ALL_INS_EX_CLKset_max_fanout $MAX_FANOUT $ALL_INS_EX_CLKset_max_transition $MAX_TRANSITION $ALL_INS_EX_CLK#et_dont_touch [get_cells {input* output*}]#Optimization Constraintsset_max_area 0#Create clock and Define Timing Constraintsset_clock_latency  1  [get_ports ihdlc_RXCLK]set_clock_uncertainty  -setup 3  [get_ports ihdlc_RXCLK]set_clock_uncertainty -hold 3  [get_ports ihdlc_RXCLK]create_clock -name  ihdlc_RXCLK -period $CLK_PERIOD -waveform {0 30} $CLK_PORTset_dont_touch_network $CLK_PORT#create_clock -name pad_iOsc -period $CLK_PERIOD -waveform {0 25} $CLK_PORT1#set_dont_touch_network $CLK_PORT1set_input_delay -max $INPUT_DELAY -clock ihdlc_RXCLK $ALL_INS_EX_CLK#set_input_delay -max $INPUT_DELAY -clock pad_iOsc $ALL_INS_EX_CLKset_output_delay -max $OUTPUT_DELAY -clock ihdlc_RXCLK [all_outputs]#set_output_delay -max $OUTPUT_DELAY -clock pad_iOsc [all_outputs]#set_false_path -to [get_ports pad_oClk]

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -