⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 hdlc_recv.sdc

📁 hdlc_receive_code.rar
💻 SDC
字号:
#################################################################### Created by write_script -format dctcl on Fri Apr 18 14:00:36 2008#################################################################### Set the current_design #current_design hdlc_recvset_operating_conditions slow -library slowset_wire_load_mode enclosedset_wire_load_model -name ForQA -library slowset_max_area 0set_driving_cell -lib_cell POL8W [get_ports ihdlc_RXD]set_driving_cell -lib_cell POL8W [get_ports ihdlc_RESET]set_dont_touch_network [get_ports ihdlc_RXCLK]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[7]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[6]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[5]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[4]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[3]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[2]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[1]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXD[0]}]set_load -pin_load 33.55 [get_ports ohdlc_TXCLK]set_load -pin_load 33.55 [get_ports {ohdlc_TXDS[2]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXDS[1]}]set_load -pin_load 33.55 [get_ports {ohdlc_TXDS[0]}]set_max_capacitance 1 [get_ports ihdlc_RXD]set_max_capacitance 1 [get_ports ihdlc_RESET]set_max_fanout 15 [get_ports ihdlc_RXD]set_max_fanout 15 [get_ports ihdlc_RESET]set_max_transition 1 [get_ports ihdlc_RXD]set_max_transition 1 [get_ports ihdlc_RESET]create_clock [get_ports ihdlc_RXCLK]  -period 50  -waveform {0 30}set_input_delay -clock ihdlc_RXCLK  -max 5  [get_ports ihdlc_RXD]set_input_delay -clock ihdlc_RXCLK  -max 5  [get_ports ihdlc_RESET]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[7]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[6]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[5]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[4]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[3]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[2]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[1]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXD[0]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports ohdlc_TXCLK]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXDS[2]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXDS[1]}]set_output_delay -clock ihdlc_RXCLK  -max 5  [get_ports {ohdlc_TXDS[0]}]set_clock_latency 1  [get_ports ihdlc_RXCLK]set_clock_uncertainty 3  [get_ports ihdlc_RXCLK]

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -