📄 addr_key.vhd
字号:
---------|---------|---------|---------|---------|---------|---------|---------|-- Author : Tom Vu -- Date : 09/19/97 -- Description : UProcessor interface--------------------------------------------------------------------------------library ieee;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;--------------------------------------------------------------------------------entity ADDR_KEY isport( ADDSEL2 : in std_logic; CHIP_EN : in std_logic; ADDR : in std_logic_vector(7 downto 0); ADDR_KEY0 : out std_logic_vector(6 downto 0); ADDR_KEY1 : out std_logic_vector(6 downto 0); ADDR_KEY2 : out std_logic_vector(6 downto 0); ADDR_KEY3 : out std_logic_vector(6 downto 0); ADDR_KEY4 : out std_logic_vector(6 downto 0); ADDR_KEY5 : out std_logic_vector(6 downto 0); ADDR_KEY6 : out std_logic_vector(6 downto 0); ADDR_KEY7 : out std_logic_vector(6 downto 0); ADDR_KEY8 : out std_logic_vector(6 downto 0); ADDR_KEY9 : out std_logic_vector(6 downto 0); ADDR_KEY10 : out std_logic_vector(6 downto 0); ADDR_KEY11 : out std_logic_vector(6 downto 0); ADDR_KEY12 : out std_logic_vector(6 downto 0); ADDR_KEY13 : out std_logic_vector(6 downto 0); ADDR_KEY14 : out std_logic_vector(6 downto 0); ADDR_KEY15 : out std_logic_vector(6 downto 0); ADDR_KEY16 : out std_logic_vector(6 downto 0); ADDR_KEY17 : out std_logic_vector(6 downto 0); ADDR_KEY18 : out std_logic_vector(6 downto 0); ADDR_KEY19 : out std_logic_vector(6 downto 0); ADDR_KEY20 : out std_logic_vector(6 downto 0); ADDR_KEY21 : out std_logic_vector(6 downto 0); ADDR_KEY22 : out std_logic_vector(6 downto 0); ADDR_KEY23 : out std_logic_vector(6 downto 0); DATAI : in std_logic_vector(7 downto 0) );end ADDR_KEY;--------------------------------------------------------------------------------architecture beh of ADDR_KEY is--------------------------------------------------------------------------------begin ADDR_KEY0(0) <= '1' when ((ADDR = "01000000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(1) <= '1' when ((ADDR = "01000001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(2) <= '1' when ((ADDR = "01000010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(3) <= '1' when ((ADDR = "01000011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(4) <= '1' when ((ADDR = "01000100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(5) <= '1' when ((ADDR = "01000101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY0(6) <= '1' when ((ADDR = "01000110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(0) <= '1' when ((ADDR = "01001000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(1) <= '1' when ((ADDR = "01001001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(2) <= '1' when ((ADDR = "01001010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(3) <= '1' when ((ADDR = "01001011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(4) <= '1' when ((ADDR = "01001100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(5) <= '1' when ((ADDR = "01001101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY1(6) <= '1' when ((ADDR = "01001110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(0) <= '1' when ((ADDR = "01010000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(1) <= '1' when ((ADDR = "01010001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(2) <= '1' when ((ADDR = "01010010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(3) <= '1' when ((ADDR = "01010011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(4) <= '1' when ((ADDR = "01010100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(5) <= '1' when ((ADDR = "01010101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY2(6) <= '1' when ((ADDR = "01010110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(0) <= '1' when ((ADDR = "01011000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(1) <= '1' when ((ADDR = "01011001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(2) <= '1' when ((ADDR = "01011010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(3) <= '1' when ((ADDR = "01011011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(4) <= '1' when ((ADDR = "01011100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(5) <= '1' when ((ADDR = "01011101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY3(6) <= '1' when ((ADDR = "01011110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(0) <= '1' when ((ADDR = "01100000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(1) <= '1' when ((ADDR = "01100001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(2) <= '1' when ((ADDR = "01100010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(3) <= '1' when ((ADDR = "01100011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(4) <= '1' when ((ADDR = "01100100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(5) <= '1' when ((ADDR = "01100101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY4(6) <= '1' when ((ADDR = "01100110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(0) <= '1' when ((ADDR = "01101000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(1) <= '1' when ((ADDR = "01101001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(2) <= '1' when ((ADDR = "01101010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(3) <= '1' when ((ADDR = "01101011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(4) <= '1' when ((ADDR = "01101100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(5) <= '1' when ((ADDR = "01101101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY5(6) <= '1' when ((ADDR = "01101110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(0) <= '1' when ((ADDR = "01110000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(1) <= '1' when ((ADDR = "01110001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(2) <= '1' when ((ADDR = "01110010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(3) <= '1' when ((ADDR = "01110011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(4) <= '1' when ((ADDR = "01110100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(5) <= '1' when ((ADDR = "01110101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY6(6) <= '1' when ((ADDR = "01110110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(0) <= '1' when ((ADDR = "01111000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(1) <= '1' when ((ADDR = "01111001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(2) <= '1' when ((ADDR = "01111010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(3) <= '1' when ((ADDR = "01111011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(4) <= '1' when ((ADDR = "01111100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(5) <= '1' when ((ADDR = "01111101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY7(6) <= '1' when ((ADDR = "01111110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(0) <= '1' when ((ADDR = "10000000") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(1) <= '1' when ((ADDR = "10000001") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(2) <= '1' when ((ADDR = "10000010") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(3) <= '1' when ((ADDR = "10000011") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(4) <= '1' when ((ADDR = "10000100") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(5) <= '1' when ((ADDR = "10000101") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';ADDR_KEY8(6) <= '1' when ((ADDR = "10000110") and (CHIP_EN = '1') and (ADDSEL2 = '0')) else '0';
⌨️ 快捷键说明
复制代码
Ctrl + C
搜索代码
Ctrl + F
全屏模式
F11
切换主题
Ctrl + Shift + D
显示快捷键
?
增大字号
Ctrl + =
减小字号
Ctrl + -