⭐ 欢迎来到虫虫下载站! | 📦 资源下载 📁 资源专辑 ℹ️ 关于我们
⭐ 虫虫下载站

📄 start_re.vhd

📁 破解des算法的程序
💻 VHD
📖 第 1 页 / 共 2 页
字号:
---------|---------|---------|---------|---------|---------|---------|---------|-- Author          :  Tom Vu                                     -- Date            :  09/19/97                                  -- Description	   :  UProcessor interface--------------------------------------------------------------------------------library ieee;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;--------------------------------------------------------------------------------entity START_REG isport(   RST_N           : in     std_logic;        CHIP_EN       	: in     std_logic;        WRB          	: in     std_logic;        ADDSEL2        	: in     std_logic;        ADDR       	: in     std_logic_vector(7 downto 0);        CLEAR_SEARCH    : in     std_logic_vector(23 downto 0);        SEARCH_IN    	: OUT     std_logic_vector(23 downto 0);        DATAI       	: in     std_logic_vector(7 downto 0)    );end START_REG;--------------------------------------------------------------------------------architecture beh of START_REG is--------------------------------------------------------------------------------signal SEARCH_IN_REG 	: std_logic_vector(23  downto 0);signal SEARCH_RST_N_0 	: std_logic;signal SEARCH_RST_N_1 	: std_logic;signal SEARCH_RST_N_2 	: std_logic;signal SEARCH_RST_N_3 	: std_logic;signal SEARCH_RST_N_4 	: std_logic;signal SEARCH_RST_N_5 	: std_logic;signal SEARCH_RST_N_6 	: std_logic;signal SEARCH_RST_N_7 	: std_logic;signal SEARCH_RST_N_8 	: std_logic;signal SEARCH_RST_N_9 	: std_logic;signal SEARCH_RST_N_10 	: std_logic;signal SEARCH_RST_N_11 	: std_logic;signal SEARCH_RST_N_12 	: std_logic;signal SEARCH_RST_N_13 	: std_logic;signal SEARCH_RST_N_14 	: std_logic;signal SEARCH_RST_N_15 	: std_logic;signal SEARCH_RST_N_16 	: std_logic;signal SEARCH_RST_N_17 	: std_logic;signal SEARCH_RST_N_18 	: std_logic;signal SEARCH_RST_N_19 	: std_logic;signal SEARCH_RST_N_20 	: std_logic;signal SEARCH_RST_N_21 	: std_logic;signal SEARCH_RST_N_22 	: std_logic;signal SEARCH_RST_N_23 	: std_logic;begin--------------------------------------------------------------------------------SEARCH_IN0_PR: process(SEARCH_RST_N_0, WRB)--------------------------------------------------------------------------------begin   ----------------------------------------------------------------if (SEARCH_RST_N_0 = '0') then	SEARCH_IN_REG(0) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01000111")) then	SEARCH_IN_REG(0) <= DATAI(0);else	SEARCH_IN_REG(0) <= SEARCH_IN_REG(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN0_PR;--------------------------------------------------------------------------------SEARCH_IN1_PR: process(SEARCH_RST_N_1, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_1 = '0') then	SEARCH_IN_REG(1) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01001111")) then	SEARCH_IN_REG(1) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN1_PR;--------------------------------------------------------------------------------SEARCH_IN2_PR: process(SEARCH_RST_N_2, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_2 = '0') then	SEARCH_IN_REG(2) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01010111")) then	SEARCH_IN_REG(2) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN2_PR;--------------------------------------------------------------------------------SEARCH_IN3_PR: process(SEARCH_RST_N_3, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_3 = '0') then	SEARCH_IN_REG(3) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01011111")) then	SEARCH_IN_REG(3) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN3_PR;--------------------------------------------------------------------------------SEARCH_IN4_PR: process(SEARCH_RST_N_4, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_4 = '0') then	SEARCH_IN_REG(4) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01100111")) then	SEARCH_IN_REG(4) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN4_PR;--------------------------------------------------------------------------------SEARCH_IN5_PR: process(SEARCH_RST_N_5, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_5 = '0') then	SEARCH_IN_REG(5) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01101111")) then	SEARCH_IN_REG(5) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN5_PR;--------------------------------------------------------------------------------SEARCH_IN6_PR: process(SEARCH_RST_N_6, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_6 = '0') then	SEARCH_IN_REG(6) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01110111")) then	SEARCH_IN_REG(6) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN6_PR;--------------------------------------------------------------------------------SEARCH_IN7_PR: process(SEARCH_RST_N_7, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_7 = '0') then	SEARCH_IN_REG(7) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "01111111")) then	SEARCH_IN_REG(7) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN7_PR;--------------------------------------------------------------------------------SEARCH_IN8_PR: process(SEARCH_RST_N_8, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_8 = '0') then	SEARCH_IN_REG(8) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "10000111")) then	SEARCH_IN_REG(8) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN8_PR;--------------------------------------------------------------------------------SEARCH_IN9_PR: process(SEARCH_RST_N_9, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_9 = '0') then	SEARCH_IN_REG(9) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "10001111")) then	SEARCH_IN_REG(9) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------end process SEARCH_IN9_PR;--------------------------------------------------------------------------------SEARCH_IN10_PR: process(SEARCH_RST_N_10, WRB)--------------------------------------------------------------------------------begin   if (SEARCH_RST_N_10 = '0') then	SEARCH_IN_REG(10) <= '0';elsif (WRB'event and WRB= '1') thenif ((CHIP_EN = '1') and (ADDSEL2 = '0') and (ADDR = "10010111")) then	SEARCH_IN_REG(10) <= DATAI(0);end if;  end if;  ----------------------------------------------------------------

⌨️ 快捷键说明

复制代码 Ctrl + C
搜索代码 Ctrl + F
全屏模式 F11
切换主题 Ctrl + Shift + D
显示快捷键 ?
增大字号 Ctrl + =
减小字号 Ctrl + -