代码搜索结果

找到约 1,533 项符合 Speaker 的代码

speaker.xpm

/* XPM */ static const char * Speaker[] = { "25 25 17 1", " c None", ". c #DEDEDE", "+ c #DCDCDC", "@ c #C1C1C1", "# c #2F2F2F", "$ c #707070", "% c #4B4B4B", "& c #000000", "* c #090909", "= c #3838

speaker.h

/* * speaker.h -- interface definitions for speaker ioctl() * * v1.4 by Eric S. Raymond (esr@snark.thyrsus.com) Aug 1993 * modified for FreeBSD by Andrew A. Chernov * *

speaker.h

/* * speaker.h -- interface definitions for speaker ioctl() * * v1.4 by Eric S. Raymond (esr@snark.thyrsus.com) Aug 1993 * modified for FreeBSD by Andrew A. Chernov * *

speaker.c

#include #include void speaker(unsigned int time,unsigned char num) { unsigned tmp; for(;num>0;num--) { tmp=time; P3_4=0; while(--tmp) ; P3_4=1; if (

speaker.h

#ifndef __SPEAKER_H__ #define __SPEAKER_H__ void speaker(unsigned int time,unsigned char num); #endif

speaker.rpt

Project Information d:\fpga\sample\speaker\speaker.rpt MAX+plus II Compiler Report File Version 10.0 9/14/2000 Compiled: 12/16/2003 11:48:41 Copyright (C) 1988-2000 Alt

speaker.vhd

library ieee; use ieee.std_logic_1164.all; entity speaker is port( botton : in std_logic; speaker : out std_logic ); end speaker; architecture func1 of speaker is begin speaker

speaker.rpt

Project Information e:\0305632tyx\speaker.rpt MAX+plus II Compiler Report File Version 10.2 07/10/2002 Compiled: 01/03/2008 20:16:40 Copyright (C) 1988-2002 Al

speaker-test.1

.\" -*- nroff -*- .\" macros .de EX \" Begin Example . IP . ft CW . nf . ne \\$1 .. .de EE \" End Example . ft P . fi . PP .. .TH SPEAKER\-TEST 1 "20 September 2004" speaker-test .SH NAME speak

speaker.flow.rpt

Flow report for speaker Tue Dec 04 20:26:52 2007 Version 5.0 Build 148 04/26/2005 SJ Full Version --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. Fl