虫虫首页|资源下载|资源专辑|精品软件
登录|注册

STA-CORDIC

  • 基于FPGA的8PSK调制解调技术研究.rar

    软件无线电是近年提出的新的通信体系,由于其具有灵活性和可重配置性并且符合通信的发展趋势,已成为通信系统设计的研究热点。因此对基于软件无线电的调制解调技术进行深入细致的研究非常有意义。 本文首先从阐述软件无线电的理论基础入手,对多速率信号处理中的内插和抽取、带通采样、数字变频等技术进行了分析与探讨,为设计和实现8PSK调制解调器提供了非常重要的理论依据。然后,研究了8PSK调制解调技术,详细论述了它们的基本概念和原理,提出了系统实现方案,在DSP+FPGA平台上实现了8PSK信号的正确调制解调。文中着重研究了突发通信的同步和频偏纠正算法,针对同步算法选取了一种基于能量检测法的快速位同步算法,采用相关器实现,同时实现位同步和帧同步。并且对于突发通信的多普勒频偏纠正,设计了一个基于自动频率控制(AFC)环的频偏检测器,通过修改数控振荡器(NCO)的频率控制字方法来校正本地载波频率,整个算法结构简单,运算量小,频偏校正速度快,具有较好的实用性。其次,对相干解调的初始相位进行纠正时,提出了一种简单易行的CORDIC方法,同时对FPGA编程当中的一些关键问题进行了介绍。最后,设计了自适应调制解调器,根据信噪比和误码率来自适应的改变调制方式,以达到最佳的传输性能。

    标签: FPGA 8PSK 调制解调

    上传时间: 2013-04-24

    上传用户:mingaili888

  • 软件无线电中数字下变频技术研究及FPGA实现.rar

    软件无线电(SDR,Software Defined Radio)由于具备传统无线电技术无可比拟的优越性,已成为业界公认的现代无线电通信技术的发展方向。理想的软件无线电系统强调体系结构的开放性和可编程性,减少灵活性著的硬件电路,把数字化处理(ADC和DAC)尽可能靠近天线,通过软件的更新改变硬件的配置、结构和功能。目前,直接对射频(RF)进行采样的技术尚未实现普及的产品化,而用数字变频器在中频进行数字化是普遍采用的方法,其主要思想是,数字混频器用离散化的单频本振信号与输入采样信号在乘法器中相乘,再经插值或抽取滤波,其结果是,输入信号频谱搬移到所需频带,数据速率也相应改变,以供后续模块做进一步处理。数字变频器在发射设备和接收设备中分别称为数字上变频器(DUC,Digital Upper Converter)和数字下变频器(DDC,Digital Down Converter),它们是软件无线电通信设备的关键部什。大规模可编程逻辑器件的应用为现代通信系统的设计带来极大的灵活性。基于FPGA的数字变频器设计是深受广大设计人员欢迎的设计手段。本文的重点研究是数字下变频器(DDC),然而将它与数字上变频器(DUC)完全割裂后进行研究显然是不妥的,因此,本文对数字上变频器也作适当介绍。 第一章简要阐述了软件无线电及数字下变频的基本概念,介绍了研究背景及所完成的主要研究工作。 第二章介绍了数控振荡器(NCO),介绍了两种实现方法,即基于查找表和基于CORDIC算法的实现。对CORDIc算法作了重点介绍,给出了传统算法和改进算法,并对基于传统CORDIC算法的NCO的FPGA实现进行了EDA仿真。 第三章介绍了变速率采样技术,重点介绍了软件无线电中广泛采用的级联积分梳状滤波器 (cascaded integratot comb, CIC)和ISOP(Interpolated Second Order Polynomial)补偿法,对前者进行了基于Matlab的理论仿真和FPGA实现的EDA仿真,后者只进行了基于Matlab的理论仿真。 第四章介绍了分布式算法和软件无线电中广泛采用的半带(half-band,HB)滤波器,对基于分布式算法的半带滤波器的FPGA实现进行了EDA仿真,最后简要介绍了FIR的多相结构。 第五章对数字下变频器系统进行了噪声综合分析,给出了一个噪声模型。 第六章介绍了数字下变频器在短波电台中频数字化应用中的一个实例,给出了测试结果,重点介绍了下变频器的:FPGA实现,其对应的VHDL程序收录在本文最后的附录中,希望对从事该领域设计的技术人员具有一定参考价值。

    标签: FPGA 软件无线电 数字下变频

    上传时间: 2013-06-30

    上传用户:huannan88

  • 基于FPGA的直扩调制解调器的设计与实现.rar

    扩频通信系统与常规的通信系统相比,具有很强的抗窄带干扰,抗多径干扰,抗人为干扰的能力,并具有信息隐蔽、多址保密通信等优点。在近年来得到了迅速的发展。本论文主要讨论和实现了基于FPGA的直接序列扩频信号的解扩解调处理。论文对该直扩通信系统和FPGA设计方法进行了相关研究,最后用Altera公司的最新的FPGA开发平台Quarus Ⅱ5.0实现了相关设计。 整个系统分为两个部分,发送部分和接收部分。发送部分主要有串并转换、差分卷积编码、PN码扩频、QPSK调制、成型滤波等模块。接收部分主要有前端抗干扰、数字下变频、解扩解调等模块。 论文首先介绍了扩频通信系统的特点以及相关技术的国内外发展现状,并介绍了本论文的研究思路和内容。 然后,论文分析了几种常用的窄带干扰抑制、载波同步及PN码同步算法,结合实际需要,设计了一种零中频DSSS解调解扩方案。给出了抗窄带干扰、PN码捕获及跟踪以及载波同步的算法分析,采用了基于数字外差调制的自适应陷波器来进行前端窄带干扰抑制处理,用基于自适应门限技术的滑动相关捕获和分时复用单相关器跟踪来改善PN码同步的性能,用基于硬判决的COSTAS(科斯塔斯)环来减少载波提取的算法复杂度,用改进型CORDIC算法实现NCO来方便的进行扩展。 接着,论文给出了系统总体设计和发送及接受子系统的各个功能模块的实现分析以及在Quartus Ⅱ5.0上的实现细节,给出了仿真结果。 然后论文介绍了整个系统的硬件电路设计和它在真实系统中连机调试所得到的测试结果,结果表明该系统具有性能稳定,灵活性好,生产调试容易,体积小,便于升级等特点并且达到课题各项指标的要求。 最后是对论文工作的一些总结和对今后工作的展望。

    标签: FPGA 调制解调器

    上传时间: 2013-05-23

    上传用户:磊子226

  • 基于FPGA实现高速专用数字下变频器

    本论文首先描述了数字下变频基本理论和结构,对完成各级数字信号处理所涉及到的CORDIC、CIC、HB、DA、重采样等关键算法做了适当介绍;然后根据这些算法提出了基于FPGA实现的结构并进一步给出了性能分析;并且从数字下变频的系统层次上考虑了各模块彼此间的性能制约,从而选择合理配置、优化系统结构以获得模块间的性能均衡和系统性能的最优化;最后给出了FPGA实现的数字下变频器在测试中产生的波形和频谱,作了测试结果分析.

    标签: FPGA 数字下变频

    上传时间: 2013-05-25

    上传用户:01010101

  • 数字相关器解调系统设计与FPGA实现

    数字相关器是无线数字接收机的重要组成部分,它主要用于对中频数字化后的信号进行解调和同步,从而恢复出原始的基带数据.本文的重点是如何高效的实现无线通信接收系统中数字中频部分,主要研究如何对MSK信号进行正确、有效、实时的解调,其内容包括1.MSK信号简介及分析,研究其特征,以便有效的对其解调.2.对解调技术中涉及的重点模块,比如NCO、CORDIC算法等做了理论上的介绍与分析.3.MSK信号的数字解调技术,比较了各种解调技术,主要是正交解调和差分解调,分析了它们的优势和劣势,并进行了仿真验证.4.在FPGA中实现了数字中频系统的各个关键模块.5.最终的解调模块在实际的PCB基板上调试通过,并应用在实际产品中.

    标签: FPGA 数字相关器 解调 系统设计

    上传时间: 2013-06-20

    上传用户:1222

  • 4040 CMOS 12级二进制计数、分频器

    The CD4020BC, CD4060BC are 14-stage ripple carrybinary counters, and the CD4040BC is a 12-sta

    标签: 4040 CMOS 二进制计 分频器

    上传时间: 2013-05-15

    上传用户:ajaxmoon

  • DVBT发射机系统中的OFDM调制FPGA实现

    该项目完成的是DVB-T发射机系统中OFDM调制部分的FPGA设计.DVB-T是ETSI(欧洲电信标准委员会)提出的数字地面电视广播系统标准,在业界影响很广.整个DVB-T发射机系统包括RS编码,内交织,卷积编码,外交织,星座映射,IFFT变换等主要部分.该项目组负责以FPGA为主体的硬件平台的搭建及编码,调制部分的FPGA软件设计,作者完成了2k模式下IFFT变换的软件设计.该文首先介绍了OFDM及DVB-T相关原理,然后比较分析了各种FFT算法及实现结构的复杂度,最后采取了一种Radix2

    标签: DVBT OFDM FPGA 发射机

    上传时间: 2013-05-16

    上传用户:gundamwzc

  • OFDM信道估计模块运算部件的FPGA设计

    正交频分复用(OnIlogonaJ Frequency Division Multiplexing,OFDM)技术通过将整个信道分为多个带宽相等并行传输的子信道,通过将信息经过子信道独立传输来实现通信,子信道的正交性可以保证最大限度的利用频谱资源。OFDM系统通过循环前缀来消除符号间干扰(ISI),通过IDFT/DFT调制解调降低了系统实现的复杂度。由于其频谱利用率高,抗多径能力强,在多种通信场合中都得到了应用。虽然有着上述优点,但为了准确的恢复信号,信道估计是OFDM系统中必须实现的一环。 本文正是针对OFDM接收机中的信道估计模块的运算部件的实现进行了研究。首先,研究了OFDM信道估计的LS算法,一阶线性插值算法,二次多项式插值算法,建立了适用于宽带通信系统的信道估计模块模型。其次研究了加法器电路和乘法器电路的实现,包括进位行波加法器,曼彻斯特进位链,超前进位加法器和乘法原理,阵列乘法器,wallace树乘法器及BOOTH编码算法,并分析了各种电路的特性及优缺点。接着研究了几种主要的除法器设计算法,包括数字循环算法,基于函数迭代的算法,以及CORDIC算法,结合信道估计的特点选择了函数迭代和CORDIC算法作为具体实现的方法。最后,在前面的设计的基础上在FPGA芯片上实现了前面的设计方案。

    标签: OFDM FPGA 信道估计 模块

    上传时间: 2013-06-05

    上传用户:yyyyyyyyyy

  • 16QAM基带Modem的FPGA芯片设计

    本文对16QAM基带Modem的FPGA芯片设计进行了研究与论述.首先介绍了16QAM调制的原理和16QAM基带Modem的FPGA芯片总体设计,以及一些FPGA设计的基本原则.接着介绍了高性能滤波器的FPGA设计方法,并采用多相结构滤波器和分布式算法(DA)设计了发送端平方根升余弦滚降滤波器.然后介绍了自适应盲均衡器的设计,该均衡器是一个复数结构的横向滤波器,采用复用抽头的结构来节省资源,本文对自适应均衡器的核心运算单元-采用booth编码算法设计的高性能乘累加(MAC)运算单元进行了详细描述.接下来介绍了载波恢复环路的FPGA设计,这是一个数字二阶锁相环,本文推导了数字二阶锁相环和模拟二阶锁相环的对应关系.DD相位检测算法中的反正切函数tan

    标签: Modem FPGA QAM 16

    上传时间: 2013-04-24

    上传用户:dajin

  • 采用FPGA实现信号处理算法的研究及实验平台的建立

    该文针对复杂信号实时处理的困难,提出了采用FPGA来实现信号处理的方法,并根据系统需要设计了一个嵌入式实验平台.根据FPGA实现信号处理的关键点:设计合理的FPGA结构,体现算法的并行性和流水性,论文着重分析了用FPGA实现阵列结构处理的具体方法和实现过程.论文从分析算法的并行度入手,提出用相关图方法直观反映算法的相关性,在此基础上设计了算法的信号流图结构和脉动阵列结构.并针对典型信号处理算法(矩阵运算、卷积运算)进行了并行度分析,相关图设计和从相关图导出脉动阵列结构的研究.同时针对FPGA特点,提出了采用CORDIC结构来设计通用运算单元,给出其流水实现的结构,结合脉动阵列结构提高了矩阵运算性能.最后设计一个以32位CPU为核心的实验平台,编写了启动程序和诊断程序.

    标签: FPGA 信号处理 法的研究 实验

    上传时间: 2013-04-24

    上传用户:1427796291