虫虫首页|资源下载|资源专辑|精品软件
登录|注册

Ram

随机存取存储器(英语:RandomAccessMemory,缩写:Ram),也叫主存,是与CPU直接交换数据的内部存储器。它可以随时读写(刷新时除外),而且速度很快,通常作为操作系统或其他正在运行中的程序的临时数据存储介质。Ram工作时可以随时从任何一个指定的地址写入(存入)或读出(取出)信息。它与ROM的最大区别是数据的易失性,即一旦断电所存储的数据将随之丢失。Ram在计算机和数字系统中用来暂时存储程序、数据和中间结果。[1]
  • 单片机的扩展Ram读写时序实验

    Ram读写时序实验

    标签: Ram 单片机 扩展 实验

    上传时间: 2013-10-19

    上传用户:zzbbqq99n

  • 基于Quartus II免费IP核的双端口Ram设计实例

      QuartusII中利用免费IP核的设计   作者:雷达室   以设计双端口Ram为例说明。   Step1:打开QuartusII,选择File—New Project Wizard,创建新工程,出现图示对话框,点击Next;

    标签: Quartus Ram IP核 双端口

    上传时间: 2014-12-28

    上传用户:fghygef

  • PLB Block Ram(BRam)接口控制器

    基于Ram块的应用

    标签: Block BRam PLB Ram

    上传时间: 2013-11-02

    上传用户:box2000

  • 基于Actel FPGA的双端口Ram设计

    基于Actel FPGA 的双端口Ram 设计双端口Ram 芯片主要应用于高速率、高可靠性、对实时性要求高的场合,如实现DSP与PCI 总线芯片之间的数据交换接口电路等。但普通双端口Ram 最大的缺点是在两个CPU发生竞争时,有一方CPU 必须等待,因而降低了访问效率。IDT 公司推出的专用双端口Ram 芯片解决了普通双端口Ram 内部竞争问题,并融合了中断、旗语、主从功能。它具有存取速度快、功耗低、可完全异步操作、接口电路简单等优点,但缺点也非常明显,那就是价格太昂贵。为解决IDT 专用双端口Ram 芯片的价格过高问题,广州致远电子有限公司推出了一种全新的基于Actel FPGA 的双端口Ram 的解决方案。该方案采用Actel FPGA 实现,不仅具有IDT 专用双端口Ram 芯片的所有性能特点,更是在价格上得到了很大改善,以A3P060双端口Ram 为例,在相同容量(2K 字节)下,其价格仅为IDT 专用芯片的六分之一。

    标签: Actel FPGA Ram 双端口

    上传时间: 2013-10-22

    上传用户:blacklee

  • RealView MDK下ARM程序在Ram中调试的方法

    RealView MDK 下ARM 程序在Ram 中调试的方法

    标签: RealView MDK ARM Ram

    上传时间: 2013-11-19

    上传用户:y1021622747

  • W5300大容量Ram

    W5300是W5100升级版,大容量Ram!

    标签: W5300 Ram 大容量

    上传时间: 2013-11-05

    上传用户:1477849018@qq.com

  • 用MDK在Ram中调试程序的方法

    软件环境:ReavView MDK3.04硬件平台:ZLG 公司出品的LPC2104 开发板使用条件:目标代码 < Ram 空间(此应用中为16KB)

    标签: MDK Ram 调试 程序

    上传时间: 2013-11-08

    上传用户:uuuuuuu

  • 双口Ram在组合导航系统中的应用

    介绍了双口Ram器件CY7C028的内部结构及工作原理,详细讨论了CY7C028在INS/GPS组合导航系统中的具体应用,给出了CY7C028与TMS320F240和TMS320VC33之间的接口电路,并对CY7C028的分区处理进行了独特的软件设计,提高了实时性。

    标签: Ram 双口 中的应用 组合导航

    上传时间: 2013-10-26

    上传用户:yzy6007

  • 7.4 基于IP CORE的BLOCK Ram设计修改稿

    7.4 基于IP CORE的BLOCK Ram设计修改稿。

    标签: BLOCK CORE 7.4 Ram

    上传时间: 2013-11-06

    上传用户:sammi

  • 基于Quartus II免费IP核的双端口Ram设计实例

      QuartusII中利用免费IP核的设计   作者:雷达室   以设计双端口Ram为例说明。   Step1:打开QuartusII,选择File—New Project Wizard,创建新工程,出现图示对话框,点击Next;

    标签: Quartus Ram IP核 双端口

    上传时间: 2013-10-18

    上传用户:909000580