虫虫首页|资源下载|资源专辑|精品软件
登录|注册

MII

  • 嵌入式TCPIP协议的FPGA实现.rar

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网MAC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-04-24

    上传用户:xlcky

  • 10100M以太网MAC的FPGA设计

    以太网是局域网中应用最广泛的联网技术,其速率已经从最初的10Mbit/s发展到现在的10Gbit/s,而且其应用领域也已经从最初的局域网延伸到城域网、广域网.介质访问控制(MAC)子层是以太网的核心,以太网的操作是基于MAC协议的.该文的主要内容是以太网MAC的FPGA设计,设计的MAC符合IEEE802.3规范,可以通过MII或RMII连到物理层,并且提供流量控制、统计信息收集、内部寄存器配置等功能.该论文的设计输入是采用VHDL语言来完成的,通过在EDA工具下的仿真和综合,验证了设计的正确性和实用性.

    标签: 10100M FPGA MAC 以太网

    上传时间: 2013-04-24

    上传用户:stampede

  • 多业务PDH单片FPGA解决方案

    随着通信网的发展和用户需求的提高,光纤通信中的PDH体系逐渐被SDH体系所取代.SDH光纤通信系统以其通信容量大、传输性能好、接口标准、组网灵活方便、管理功能强大等优点获得越来越广泛的应用.但是在某些对传输容量需求不大的场合,SDH的巨大潜力和优越性无法发挥出来,反而还会造成带宽浪费.相反,PDH因其容量适中,配置灵活,成本低廉和功能齐全,可针对客户不同需要设计不同的方案,在某些特定的接入场合具有一定的优势.本课题根据现实的需要,提出并设计了一种基于PDH技术的多业务单片FPGA传输系统.系统可以同时提供12路E1的透明传输和一个线速为100M以太网通道,主要由一块FPGA芯片实现大部分功能,该解决方案在集成度、功耗、成本以及灵活性等方面都具有明显的优势.本文首先介绍数字通信以及数字复接原理和以太网的相关知识,然后详细阐述了本系统的方案设计,对所使用的芯片和控制芯片FPGA做了必要的介绍,最后具体介绍了系统硬件和FPGA编码设计,以及后期的软硬件调试.归纳起来,本文主要具体工作如下:1.实现4路E1信号到1路二次群信号的复分接,主要包括全数字锁相环、HDB3-NRZ编解码、正码速调整、帧头检测和复分接等.2.将以太网MII接口来的25M的MII信号通过码速变换到25.344M,进行映射.3.将三路二次群信号和变换过的以太网MII信号进行5b6b编解码,以利于在光纤上传输.4.高速时提取时钟采用XILINX的CDR方案.并对接收到的信号经过5b6b解码后,分接出各路信号.

    标签: FPGA PDH 多业务 方案

    上传时间: 2013-07-23

    上传用户:lansedeyuntkn

  • 嵌入式TCPIP协议的FPGA实现

    随着Internet的不断发展,人们希望日常生活中所用到的嵌入式设备都能够很方便地实现Intemet接入,这对嵌入式系统设计提出了新的挑战,要求低成本、多功能、高性能。这些是目前嵌入式系统设计的热点。 可编程逻辑器件FPGA在过去的几十年中取得了飞速发展,从最初的几千门到现在的几百万门,可靠性与集成度不断提高,而功耗和成本却在不断降低,具有很高的性价比。再加上开发周期短、对开发人员的要求相对较低的优点,因此被大量应用于嵌入式系统设计中。 本文是基于FPGA高性价比、可灵活配置的特点,也是当前流行的“微控制器+FPGA”的嵌入式系统设计方式,所以我们提出了基于FPGA的实现方案。本文通过在FPGA中硬件实现嵌入式TCP/IP协议(包括UDP、IP、ARP、TCP等网络协议)以及以太网MAC协议,并提供标准MII接口,通过外接PHY实现网络连接。最终成功地通过了验证。 基于FPGA的实现可以有效地降低成本,同时可以在其中集成其他功能模块,提高整个系统的集成度,减小PCB版图面积和布线复杂度,有利于提高系统可靠性。因此,本研究课题对嵌入式系统设计有很大的实用价值。

    标签: TCPIP FPGA 嵌入式 协议

    上传时间: 2013-07-08

    上传用户:450976175

  • 针对嵌入式系统的底层网络接口给出了一种由FPGA实现的以太网控制器的设计方法

    针对嵌入式系统的底层网络接口给出了一种由FPGA实现的以太网控制器的设计方法.该控制器能支持10Mbps和100Mbps的传输速率以及半双工和全双工模式,同时可提供MII接口,可并通过外接以太网物理层(PHY)芯片来实现网络接入\r\n

    标签: FPGA 嵌入式系统 以太网控制器 底层

    上传时间: 2013-08-18

    上传用户:青春给了作业95

  • 机器人扩展卡安装

    AS-MII资料

    标签: 机器人 扩展卡

    上传时间: 2013-10-19

    上传用户:胡岸888

  • 一种点对点高速通信控制器的设计与实现

    为了提高CPU模块之间的点对点通信速率,通过对以太网控制器MAC的研究,设计出一种点对点高速通信控制器。该控制器是基于媒体无关接口MII和以太网收发器的点对点高速通信控制器。利用VHDL语言编写该控制器的相关代码,使用MAXPLUSⅡ对该控制器的数据发送和数据接收进行仿真,并在实验室样机上进行实现。仿真结果和实验结果表明这种点对点高速通信控制器的设计方法是可行的。

    标签: 点对点 控制器 高速通信

    上传时间: 2013-11-09

    上传用户:zhangxin

  • MPC8260的Fast Ethernet的例子。配置FCC为Fast Ethernet模式

    MPC8260的Fast Ethernet的例子。配置FCC为Fast Ethernet模式,然后接MII口。用标准C语言编写。

    标签: Ethernet Fast 8260 MPC

    上传时间: 2015-04-10

    上传用户:wyc199288

  • 以太网控制器verilog

    以太网控制器verilog,含有mac,MII接口

    标签: verilog 以太网控制器

    上传时间: 2014-12-01

    上传用户:refent

  • 10/100兆 pci接口 rtl8139网卡电脑驱动程序 RTL8139 可能是目前最受欢迎的网络卡

    10/100兆 pci接口 rtl8139网卡电脑驱动程序 RTL8139 可能是目前最受欢迎的网络卡,它的价格便宜,功能上也还能接受。虽然在效能上有时会略不及Intel 的 eepro100,但因为价格实在太便宜了,所以芯片上的一点小问题通常也接忽略不计。 8139 虽然价格不高,但该有的功能一点也不缺。它内建了符合 MII 规格的 tranceiver,可以自动判断连接的网络是那一种型态。它也可以使用 DMA 直接使用位于主记忆体的缓区来存网络上接收的封包,同样的,待传送的封包也可利用 DMA 传送到网络卡上。所以虽然在 8139 芯片上只有 2K 的接收缓冲区和 2K 的传送缓冲区,其效能仍十分不错。 除了 realtek 本身外,有不少的厂商也使用相同的内核生产了和 8139 相容的网络芯片,包括了 SMC 1211 MPX 5030 DELTA 8139 ADDTRON 8139 DFE 538

    标签: 8139 100 pci RTL

    上传时间: 2013-12-23

    上传用户:yy541071797