虫虫首页|资源下载|资源专辑|精品软件
登录|注册

高速通信

  • 用SPI总线实现DSP和MCU之间的高速通信.rar

    简述了SPI总线协议工作时序和配置要求,通过一个成功的实例详细介绍了使用SPI 总线实现DSP与MCU之间的高速通信方法,并参考实例给出了SPI接口的硬件连接、初始化、 以及传输测试程序的编写方法。 关键词:SPI接口;McBSP;总线;高速通信

    标签: SPI DSP MCU

    上传时间: 2013-04-24

    上传用户:jhksyghr

  • 一种点对点高速通信控制器的设计与实现

    为了提高CPU模块之间的点对点通信速率,通过对以太网控制器MAC的研究,设计出一种点对点高速通信控制器。该控制器是基于媒体无关接口MII和以太网收发器的点对点高速通信控制器。利用VHDL语言编写该控制器的相关代码,使用MAXPLUSⅡ对该控制器的数据发送和数据接收进行仿真,并在实验室样机上进行实现。仿真结果和实验结果表明这种点对点高速通信控制器的设计方法是可行的。

    标签: 点对点 控制器 高速通信

    上传时间: 2013-11-09

    上传用户:zhangxin

  • 高速通信系统中均衡器的几种结构说明与比较

    高速通信系统中均衡器的几种结构说明与比较,对设计SerDes的朋友有帮助

    标签: 高速通信系统 均衡器 比较

    上传时间: 2016-12-09

    上传用户:lacsx

  • wishbone总线的VHDL源代码 wishbone适用于与FPGA中IP核的高速通信

    wishbone总线的VHDL源代码 wishbone适用于与FPGA中IP核的高速通信,其接口简单,速度快 成为ip通信的主流

    标签: wishbone VHDL FPGA IP核

    上传时间: 2014-01-09

    上传用户:maizezhen

  • 普通光耦高速通信TLP521用于115200bps

    普通光耦高速通信TLP521用于115200bps

    标签: 光耦

    上传时间: 2015-07-06

    上传用户:zts940611

  • 基于FPGA DSP架构的高速通信接口设计与实现

    本文采用 altera 公司cyclone 系列芯片ep1c12 实现了与ts101/ts201 两种芯片的链路口的双工通信,并给出了具体的设计实现方法。其中ts101 的设计已经成功应用于某

    标签: FPGA DSP 架构 接口设计

    上传时间: 2013-06-15

    上传用户:hmy2st

  • 基于CORDIC算法的高速ODDFS电路设计

    为了满足现代高速通信中频率快速转换的需求,基于坐标旋转数字计算(CORDIC,Coordinate Rotation Digital Computer)算法完成正交直接数字频率合成(ODDFS,Orthogonal Direct Digital Frequency Synthesizer)电路设计方案。采用MATLAB和Xilinx System Generator开发工具搭建电路的系统模型,通过现场可编程门阵列(FPGA,Field Programmable Gate Array)完成电路的寄存器传输级(RTL,Register Transfer Level)验证,仿真结果表明电路设计具有很高的有效性和可行性。

    标签: CORDIC ODDFS 算法 电路设计

    上传时间: 2013-11-09

    上传用户:hfnishi

  • 基于FPGA DSP架构的高速通信接口设计与实现

    本文采用 altera 公司cyclone 系列芯片ep1c12 实现了与ts101/ts201 两种芯片的链路口的双工通信,并给出了具体的设计实现方法。其中ts101 的设计已经成功应用于某信号处理机中。

    标签: FPGA DSP 架构 接口设计

    上传时间: 2014-01-11

    上传用户:ZJX5201314

  • 采用高速串行收发器Rocket I/O实现数据率为2.5 G

    摘要: 串行传输技术具有更高的传输速率和更低的设计成本, 已成为业界首选, 被广泛应用于高速通信领域。提出了一种新的高速串行传输接口的设计方案, 改进了Aurora 协议数据帧格式定义的弊端, 并采用高速串行收发器Rocket I/O, 实现数据率为2.5 Gbps的高速串行传输。关键词: 高速串行传输; Rocket I/O; Aurora 协议 为促使FPGA 芯片与串行传输技术更好地结合以满足市场需求, Xilinx 公司适时推出了内嵌高速串行收发器RocketI/O 的Virtex II Pro 系列FPGA 和可升级的小型链路层协议———Aurora 协议。Rocket I/O支持从622 Mbps 至3.125 Gbps的全双工传输速率, 还具有8 B/10 B 编解码、时钟生成及恢复等功能, 可以理想地适用于芯片之间或背板的高速串行数据传输。Aurora 协议是为专有上层协议或行业标准的上层协议提供透明接口的第一款串行互连协议, 可用于高速线性通路之间的点到点串行数据传输, 同时其可扩展的带宽, 为系统设计人员提供了所需要的灵活性[4]。但该协议帧格式的定义存在弊端,会导致系统资源的浪费。本文提出的设计方案可以改进Aurora 协议的固有缺陷,提高系统性能, 实现数据率为2.5 Gbps 的高速串行传输, 具有良好的可行性和广阔的应用前景。

    标签: Rocket 2.5 高速串行 收发器

    上传时间: 2013-11-06

    上传用户:smallfish

  • 基于光纤技术的雷达高速通信技术研究

    文章分析了雷达高速宽带数字接收与恢复的现状,以及制约其发展的关键因素,提出基于高速串行器/解串器、FPGA和正交数字上变频器的高速宽带数字接收与恢复系统方案。系统以光纤为传输媒介,以FPGA为控制核心,正交调试器为信号调制平台,完成高速数字接收、基带信号预处理与基带信号的上变频等功能。该系统具有误码率低、可靠性高的优点。

    标签: 光纤技术 雷达 技术研究 高速通信

    上传时间: 2014-12-28

    上传用户:czl10052678