虫虫首页|资源下载|资源专辑|精品软件
登录|注册

1.18

  • superpro 280驱动及编程器软件

    已通过CE认证。(为什么要选择经过CE认证的编程器?) 程速度无与伦比,逼近芯片理论极限。 基本配置48脚流行驱动电路。所选购的适配器都是通用的(插在DIP48锁紧座上),即支持同封装所有类型器件,48脚及以下DIP器件无需适配器直接支持。通用适配器保证快速新器件支持。I/O电平由DAC控制,直接支持低达1.5V的低压器件。 更先进的波形驱动电路极大抑制工作噪声,配合IC厂家认证的算法,无论是低电压器件、二手器件还是低品质器件均能保证极高的编程良品率。编程结果可选择高低双电压校验,保证结果持久稳固。 支持FLASH、EPROM、EEPROM、MCU、PLD等器件。支持新器件仅需升级软件(免费)。可测试SRAM、标准TTL/COMS电路,并能自动判断型号。 自动检测芯片错插和管脚接触不良,避免损坏器件。 完善的过流保护功能,避免损坏编程器。 逻辑测试功能。可测试和自动识别标准TTL/CMOS逻辑电路和用户自定义测试向量的非标准逻辑电路。 丰富的软件功能简化操作,提高效率,避免出错,对用户关怀备至。工程(Project)将用户关于对象器件的各种操作、设置,包括器件型号设定、烧写文件的调入、配置位的设定、批处理命令等保存在工程文件中,每次运行时一步进入写片操作。器件型号选择和文件载入均有历史(History)记录,方便再次选择。批处理(Auto)命令允许用户将擦除、查空、编程、校验、加密等常用命令序列随心所欲地组织成一步完成的单一命令。量产模式下一旦芯片正确插入CPU即自动启动批处理命令,无须人工按键。自动序列号功能按用户要求自动生成并写入序列号。借助于开放的API用户可以在线动态修改数据BUFFER,使每片芯片内容均不同。器件型号选错,软件按照实际读出的ID提示相近的候选型号。自动识别文件格式, 自动提示文件地址溢出。 软件支持WINDOWS98/ME/NT/2000/XP操作系统(中英文)。  器件型号  编程(秒)  校验(秒)  P+V (s)  Type  28F320W18  9  4.5  13.5  32Mb FLASH  28F640W30  18  9  27  64Mb FLASH  AM29DL640E  38.3  10.6  48.9  64Mb FLASH  MB84VD21182DA  9.6  2.9  12.5  16Mb FLASH  MB84VD23280FA  38.3  10.6  48.9  64Mb FLASH  LRS1381  13.3  4.6  19.9  32Mb FLASH  M36W432TG  11.8  4.6  16.4  32Mb FLASH  MBM29DL323TE  17.5  5.5  23.3  32Mb FLASH  AT89C55WD  2.1  1  3.1  20KB MCU  P89C51RD2B  4.6  0.9  5.5  64KB MCU  

    标签: superpro 280 驱动 编程器软件

    上传时间: 2013-10-18

    上传用户:suicoe

  • 1.2 FPGA的设计方法与要求

    1.2 FPGA的设计方法与要求。

    标签: FPGA 1.2 设计方法

    上传时间: 2013-10-18

    上传用户:nanfeicui

  • 2.1.3 VIRTEX-Ⅱ系列产品

    2.1.3 VIRTEX-Ⅱ系列产品。

    标签: VIRTEX

    上传时间: 2014-01-19

    上传用户:2728460838

  • 怎样使用Nios II处理器来构建多处理器系统

    怎样使用Nios II处理器来构建多处理器系统 Chapter 1. Creating Multiprocessor Nios II Systems Introduction to Nios II Multiprocessor Systems . . . . . . . . . . . . . . 1–1 Benefits of Hierarchical Multiprocessor Systems  . . . . . . . . . . . . . . . 1–2 Nios II Multiprocessor Systems . . . . . . . . . . . . . . . . . . . .  . . . . . . . . . . . . . 1–2 Multiprocessor Tutorial Prerequisites   . . . . . . . . . . .  . . . . . . . . . . . . 1–3 Hardware Designs for Peripheral Sharing   . . . . . . . . . . . .. . . . . . . . 1–3 Autonomous Multiprocessors   . . . . . . . . . . . . . . . . . . . . . .  . . . . . . . 1–3 Multiprocessors that Share Peripherals . . . . . . . . . . . . . . . . . . . . . . 1–4 Sharing Peripherals in a Multiprocessor System   . . . . . . . . . . . . . . . . . 1–4 Sharing Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–6 The Hardware Mutex Core  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–7 Sharing Peripherals   . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . . . . 1–8 Overlapping Address Space  . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–8 Software Design Considerations for Multiple Processors . . .. . . . . 1–9 Program Memory  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–9 Boot Addresses  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. 1–13 Debugging Nios II Multiprocessor Designs  . . . . . . . . . . . . . . . .  1–15 Design Example: The Dining Philosophers’ Problem   . . . . .. . . 1–15 Hardware and Software Requirements . . . . . . . . . . . . . . . .. . . 1–16 Installation Notes  . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–17 Creating the Hardware System   . . . . . . . . . . . . . . .. . . . . . 1–17 Getting Started with the multiprocessor_tutorial_start Design Example   1–17 Viewing a Philosopher System   . . . . . . . . . . . . . . . . . . . . . . . . . . . .  . . 1–18 Philosopher System Pipeline Bridges  . . . . . . . . . . . . . . . . . . . . . 1–19 Adding Philosopher Subsystems   . . . . . . . . . . . . . . . . . . . . . .  . . . . 1–21 Connecting the Philosopher Subsystems  . . . . . . . . . . . . .. . . . . 1–22 Viewing the Complete System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1–27 Generating and Compiling the System   . . . . . . . . . . . . . . . . . .. 1–28

    标签: Nios 处理器 多处理器

    上传时间: 2013-11-21

    上传用户:lo25643

  • Protel DXP2004详细教程

    目录 目录 1 快捷键 2 常用元件及封装 7 创建自己的集成库 12 板层介绍 14 过孔 15 生成BOM清单 16 顶层原理图: 16 生成PCB 17 包地 18 电路板设计规则 18 PCB设计注意事项 20 画板心得 22 DRC 规则英文对照 22 一、Error Reporting 中英文对照 22 A : Violations Associated with Buses 有关总线电气错误的各类型(共 12 项) 22 B :Violations Associated Components 有关元件符号电气错误(共 20 项) 22 C : violations associated with document 相关的文档电气错误(共 10 项) 23 D : violations associated with nets 有关网络电气错误(共 19 项) 23 E : Violations associated with others 有关原理图的各种类型的错误 (3 项 ) 24 二、 Comparator 规则比较 24 A : Differences associated with components 原理图和 PCB 上有关的不同 ( 共 16 项 ) 24 B : Differences associated with nets 原理图和 PCB 上有关网络不同(共 6 项) 25 C : Differences associated with parameters 原理图和 PCB 上有关的参数不同(共 3 项) 25 Violations  Associated withBuses栏 —总线电气错误类型 25 Violations Associated with Components栏 ——元件电气错误类型 26 Violations Associated  with documents栏 —文档电气连接错误类型 27 Violations Associated with Nets栏 ——网络电气连接错误类型 27 Violations Associated with Parameters栏 ——参数错误类型 28  

    标签: Protel 2004 DXP 教程

    上传时间: 2013-11-21

    上传用户:旭521

  • genesis 2000 v9.1软件下载

    enesis 2000 v9.1软件可免费下载,但由cam之家提供制作,为绿化中文版。压缩包里面有安装说明与安装视频,注册码为:sx0397b10011。只要你的电脑有打印端口,完全可以安装。非常方便。WINDOWS XP 系统是可以装GENESIS2000的,不管什么版本,安装文件不能放得太深,就是只能放在硬盘根目录下面,要不然就无法启动安装程序,一闪而过。  

    标签: genesis 2000 9.1 软件

    上传时间: 2013-10-11

    上传用户:ttpay

  • DA98B DA01B使用手册第1版(V2[1].14)2009-6-18

    广数DA98B伺服驱动使用手册第1版

    标签: DA 2009 01B 98B

    上传时间: 2015-01-02

    上传用户:Bert520

  • 一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调

    一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调

    标签: 电子钟 数码管显示

    上传时间: 2014-01-09

    上传用户:cccole0605

  • 1、CnPack是一个Delphi第三方开发包

    1、CnPack是一个Delphi第三方开发包,它的全称是 CnPack For Delphi 开发包。 2、与一般的控件包不同的是,CnPack开发包除了包括大量的组件外,还包括用于IDE扩展的专家包、大量的通用窗体库、数据桌面、组件编写工具等辅助开发工具,以及一个版本控制系统等等与Delphi软件开发相关的代码、文档和程序。 3、CnPack是一个完全符合GNU LGPL协议的自由程序库。

    标签: CnPack Delphi 开发包

    上传时间: 2013-12-29

    上传用户:ardager

  • hashish-1.1b加密算法库c++

    hashish-1.1b加密算法库c++

    标签: hashish 1.1 加密 算法库

    上传时间: 2013-12-22

    上传用户:liuchee