虫虫首页|资源下载|资源专辑|精品软件
登录|注册

输出数据

  • AD7790 ADC芯片数据手册中文版

    AD7790是一款适合低频测量应用的低功耗、完整模拟前端,内置一个低噪声16位Σ-Δ型ADC,一路差分输入可配置为缓冲或无缓冲模式,此外还有一个增益可设置为1、2、 4或8的数字PGA。该器件采用内部时钟工作,因此,用户不必为其提供时钟源。器件的输出数据速率可通过软件编程设置,可在9.5 Hz至120 Hz的范围内变化,更新速率较低时均方根(RMS)噪声为1.1 µV。内部时钟频率可以使用系数2、 4或8进行分频,从而可以降低功耗。更新速率、截止频率和建立时间与时钟频率成比例变化。这款器件采用2.5 V至5.25 V电源供电,工作电压为3 V时,最大功耗为225 µW,采用10引脚MSOP封装。

    标签: ad7790 adc 芯片

    上传时间: 2021-10-25

    上传用户:得之我幸78

  • 热电偶至数字输出转换器 MAX31856 手册

    MAX31856可以对任何类型热电偶的信号进行冷端补偿和数字转换,输出数据以摄氏度为单位。转换器温度分辨率达0.0078125°C,允许读取最高+1800°C、最低-210°C (取决于热电偶类型)的温度读数,热电偶电压测量精度达±0.15%。热电偶输入端提供±45V过压保护。

    标签: 热电偶 MAX31856

    上传时间: 2022-08-09

    上传用户:yui0900826

  • 4位数码管动态显示实验.rar

    SPI接口实险,动态LED数据管显示实验。 1、程序通过SPI接口输出数据到HC595芯片驱动LED数据管简单显示。 2、动态调度由片内定时器1中断产生,中断周期为5mS。 3、内部1 M晶振,程序采用单任务方式,软件延时。 4、进行此实验请插上JP1的所有8个短路块,JP6(SPI_EN)短路块。

    标签: 数码管 动态显示 实验

    上传时间: 2013-06-30

    上传用户:gokk

  • SPI接口实验LED显示.rar

    SPI接口实险,LED数据管显示。 1、程序通过SPI接口输出数据到HC595芯片驱动LED数据管简单显示。 2、内部1 M晶振,程序采用单任务方式,软件延时。 3、进行此实验请插上JP1的所有8个短路块,JP6(SPI_EN)短路块。

    标签: SPI LED 接口

    上传时间: 2013-06-29

    上传用户:123啊

  • 基于FPGA的ADC并行测试方法研究.rar

    高性能ADC产品的出现,给混合信号测试领域带来前所未有的挑战。并行ADC测试方案实现了多个ADC测试过程的并行化和实时化,减少了单个ADC的平均测试时间,从而降低ADC测试成本。 本文实现了基于FPGA的ADC并行测试方法。在阅读相关文献的基础上,总结了常用ADC参数测试方法和测试流程。使用FPGA实现时域参数评估算法和频域参数评估算法,并对2个ADC在不同样本数条件下进行并行测试。 通过在FPGA内部实现ADC测试时域算法和频域算法相结合的方法来搭建测试系统,完成音频编解码器WM8731L的控制模式接口、音频数据接口、ADC测试时域算法和频域算法的FPGA实现。整个测试系统使用Angilent 33220A任意信号发生器提供模拟激励信号,共用一个FPGA内部实现的采样时钟控制模块。并行测试系统将WM8731.L片内的两个独立ADC的串行输出数据分流成左右两通道,并对其进行串并转换。然后对左右两个通道分别配置一个FFT算法模块和时域算法模块,并行地实现了ADC参数的评估算法。 在样本数分别为128和4096的实验条件下,对WM8731L片内2个被测.ADC并行地进行参数评估,被测参数包括增益GAIN、偏移量OFFSET、信噪比SNR、信号与噪声谐波失真比SINAD、总谐波失真THD等5个常用参数。实验结果表明,通过在FPGA内配置2个独立的参数计算模块,可并行地实现对2个相同ADC的参数评估,减小单个ADC的平均测试时间。 FPGA片内实时评估算法的实现节省了测试样本传输至自动测试机PC端的时间。而且只需将HDL代码多次复制,就可实现多个被测ADC在同一时刻并行地被评估,配置灵活。基于FPGA的ADC并行测试方法易于实现,具有可行性,但由于噪声的影响,测试精度有待进一步提高。该方法可用于自动测试机的混合信号选项卡或测试子系统。 关键词:ADC测试;并行;参数评估;FPGA;FFT

    标签: FPGA ADC 并行测试

    上传时间: 2013-07-10

    上传用户:tdyoung

  • 基于FPGA的LED视频显示控制系统的设计.rar

    LED显示屏是LED点阵模块或者像素单元组成的平面显示屏幕。自从诞生以来,以其亮度高、视角广、寿命长、性价比高的特点,在交通、广告、新闻发布、体育比赛、电子景观等领域得到了广泛应用。 LED显示屏控制器作为控制LED屏显示图像、数据的关键,是整个LED视频显示系统的核心。本文研究的是对全彩色同步LED屏的控制,控制LED屏同步显示在上位机显示系统中某固定位置处的图像。根据已有的LED显示屏及其驱动器的特点,提出了一种可行的方案并进行了设计。系统主要分为两个部分:视频信号的获取,视频信号的处理。 经过分析比较,决定从显卡的DVI接口获得视频源,视频源经过DVI解码芯片TFP401A的解码后,可以获得图像的数字信息,这些信息包括红、绿、蓝三基色的数据以及行同步、场同步、使能等控制信号。这些信号将在视频信号处理模块中被使用。 信号处理模块在接收视频信号源后,对数据进行处理,最后输出数据给驱动电路。在信号处理模块中,采用了可编程逻辑器件FPGA来完成。可编程逻辑器件具有高集成度、高速度、高可靠性、在线可编程(ISP)等特点,所以特别适合于本设计。利用FPGA的可编程性,在FPGA内部划分了各个小模块,各小模块中通过少量的信号进行联系,这样就将比较大的系统转化成许多小的系统,使得设计更加简单,容易验证。本文分析了驱动电路所需要的数据的特点,全彩色灰度级的实现方式,决定把系统划分为视频源截取、RGB格式转化、位平面分离、读SRAM地址发生器、写SRAM地址发生器、读写SRAM选择控制器、灰度实现等模块。 最后利用示波器和SignalTap II逻辑分析仪等工具,对系统进行了联合调试。改进了时序、优化了布局布线,使得系统性能得到了良好的改善。 在分析了所需要的资源的基础上,课题决定采用Altera的Cyclone EP1C12 FPGA设计视频信号处理模块,在Quartus II和modelsim平台下,用Verilog HDL语言开发。

    标签: FPGA LED 视频显示

    上传时间: 2013-05-18

    上传用户:玉箫飞燕

  • 基于FPGA的高速FIR数字滤波器设计.rar

    本论文设计了一种基于FPGA的高速FIR数字滤波器,滤波器实现低通滤波,截止频率为1MHz,通带波纹小于1 dB,阻带最大衰减为-40 dB,输入输出数据为8位二进制,采样频率为10MHz。 论文首先简要介绍了数字滤波器的基本原理和线性FIR数字滤波器的性质、结构,根据滤波器的性能要求选择窗函数、确定系数,在算法上为了满足数字滤波器的要求,对系数放大512倍并取整,并用Matlab对数字滤波器原理进行了证明。同时简述了EDA技术和FPGA设计流程。 其次,论文说明了FIR数字滤波器模块的划分,并用Verilog语言在Modelsim环境下进行了功能测试。对于数字滤波器系数中的-1,-2,4这些简单的系数乘法直接进行移位和取反,可以极大的节省资源和优化设计。而对普通系数乘法采用4-BANT(4bits-at-a-time)的并行算法,用加法累加快速实现了乘积的运算;另外,在本设计进行部分积累加时,采用舍取冗余位,主要是根据设计时已对系数进行了放大,而输出时又要将结果相应的缩小,所以在累加时,提前对部分积缩小,从而减少了运算量,从时间和资源上都得到了优化。 论文的最后分别用Modelsim和Quartus II进行了FIR数字滤波器的前仿真和后仿真,将仿真的结果和Matlab中原理验证时得到的理想值进行了比较,并对所产生的误差进行了分析。仿真结果表明:本16阶FIR数字滤波器设计能够实现截止频率为1MHz的低通滤波,并且工作频率可达150MHz以上。

    标签: FPGA FIR 数字

    上传时间: 2013-05-24

    上传用户:qiaoyue

  • 基于FPGA的视频图像处理系统.rar

    随着电子技术和计算机技术的飞速发展,视频图像处理技术近年来得到极大的重视和长足的发展,其应用范围主要包括数字广播、消费类电子、视频监控、医学成像及文档影像处理等领域。当前视频图像处理主要问题是当处理的数据量很大时,处理速度慢,执行效率低。而且视频算法的软件和硬件仿真和验证的灵活性低。 本论文首先根据视频信号的处理过程和典型视频图像处理系统的构成提出了基于FPGA的视频图像处理系统总体框图;其次选择视频转换芯片SAA7113,完成视频图像采集模块的设计,主要分三步完成:1)配置视频转换芯片的工作模式,完成视频转化芯片SAA7113的初始化:2)通过分析输出数据流的格式标准,来识别奇偶场信号、场消隐信号和有效行数据的开始和结束信号三种控制信号,并根据控制信号,用Verilog硬件描述语言编程实现图像数据的采集;3)分析SRAM的读写控制时序,采用两块SRAM完成图像数据的存储。然后编写软件测试文件,在ISE Simulator仿真环境进行程序测试与运行,并分析仿真结果,验证了数据采集和存储的正确性;最后,对常用视频图像算法的MATLAB仿真,选择适当的算子,采用工具MATLAB、System Generator for DSP和ISE,利用模块构建方式,搭建视频算法平台,实现图像平滑滤波、锐化滤波算法,在Simulink中仿真并自动生成硬件描述语言和网表,对资源的消耗做简要分析。 本论文的创新点是采用新的开发环境System Generator for DSP实现视频图像算法。这种开发视频图像算法的方式灵活性强、设计周期短、验证方便、是视频图像处理发展的必然趋势。

    标签: FPGA 视频图像 处理系统

    上传时间: 2013-05-20

    上传用户:fudong911

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱

  • 基于FPGA的数字视频光纤传输系统的设计.rar

    随着计算机技术和通信技术的迅速发展,数字视频在信息社会中发挥着越来越重要的作用,视频传输系统已经被广泛应用于交通管理、工业监控、广播电视、银行、商场等多个领域。同时,FPGA单片规模的不断扩大,在FPGA芯片内部实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现视频压缩和传输已成为一种最佳选择。 本文将视频压缩技术和光纤传输技术相结合,设计了一种基于无损压缩算法的多路数字视频光纤传输系统,系统利用时分复用和无损压缩技术,采用串行数字视频传输的方式,可在一根光纤中同时传输8路以上视频信号。系统在总体设计时,确定了基于FPGA的设计方案,采用ADI公司的AD9280和AD9708芯片实现A/D转换和D/A转换,在FPGA里实现系统的时分复用/解复用、视频数据压缩/解压缩和线路码编解码,利用光收发一体模块实现电光转换和光电转换。视频压缩采用LZW无损压缩算法,用Verilog语言设计了压缩模块和解压缩模块,利用Xilinx公司的IP核生成工具Core Generator生成FIFO来缓存压缩/解压缩单元的输入输出数据,光纤线路码采用CIMT码,设计了编解码模块,解码过程中,利用数字锁相环来实现发射与接收的帧同步,在ISE8.2和Modelsim仿真环境下对FPGA模块进行了功能仿真和时序仿真,并在Spartan-3E开发板和视频扩展板上完成了系统的硬件调试与验证工作,实验证明,系统工作稳定,图像清晰,实时传输效果好,可用于交通、安防、工业监控等多个领域。 本文将视频压缩和线路码编解码在FPGA里实现,利用FPGA的并行处理优势,大大提高了系统的处理速度,使系统具有集成度高、灵活性强、调试方便、抗干扰能力强、易于升级等特点。

    标签: FPGA 数字视频 光纤传输系统

    上传时间: 2013-06-27

    上传用户:几何公差