虫虫首页|资源下载|资源专辑|精品软件
登录|注册

调制

调制在生物化学与分子生物学中有以下几个意义:(1)细胞分化和功能状态的可逆改变。(2)生物活性物质对细胞的调节作用。(3)细胞(主要是免疫活性细胞)受生物活性物质(如细胞因子)作用而发生的功能性变化。(4)特异基因的转录频率的调节。(5)由密码子造成信使核糖核酸(mRNA)翻译速率减低的调节。(6)效应物对调节酶的控制。在通信科技中是指有意或无意地使表征一振荡或波的量随着一信号或另一振荡或波的变化而变化的过程。
  • 网格编码调制技术的FPGA实现

    在传统的数字传输系统中,纠错编码与调制是各自独立设计并实现的,译码与解调也是如此。80年代初,Ungerboeck根据调制解调与纠错编码的特点,提出了一种新的思想,称作网格编码调制,记为TCM。它是将调制解调与纠错编码当成一个整体来设计。它的中心思想是:采用编码方法将信号空间做最佳分割,使已调信号矢量端点间有最大的距离。这样就可以在相同发射功率、相同有效性的条件下提高信息传输的可靠性,特别适用于频带受限和功率受限信道。它在卫星通信和移动通信中的应用又使它成为研究热点。 本文介绍了TCM编码调制的基本原理,在此基础上提出了一种新的TCM编码的方法;介绍了卷积码Viterbi译码的基本原理和步骤,在此基础上分析了TCM的Viterbi译码的特点;研究了TCM在高斯白噪声条件下的误码性能及其编码增益,并在MATLAB上仿真来进行验证;介绍了数字逻辑设计的基本方法和流程,在此基础上介绍了基于FPGA的TCM系统的各个模块。

    标签: FPGA 网格编码 调制技术

    上传时间: 2013-07-26

    上传用户:13913148949

  • 基于FPGA的QDPSK调制解调技术

    现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。用FPGA实现调制解调器具有体积小、功耗低、集成度高、可软件升级、抗干扰能力强的特点,符合未来通信技术发展的方向。论文从以下几个方面讨论和实现了基于FPGA的调制解调系统。 论文首先介绍了调制解调系统的发展现状及FPGA的相关知识。然后介绍了几种常见的相位调制解调方式,重点是QDPSK调制解调系统的理论算法。 论文重点介绍了QDPSK解调调制系统的具体实现。首先,在在MATLAB环境下对系统里的每个子模块完成了功能仿真,并取得满意的仿真结果;其次,在QDPSK调制解调系统功能仿真正确的基础上,对每个模块的功能编写C++算法,并且验证了算法的正确性和可实现性;最后,在altera公司的FPGA开发平台Quartus Ⅱ 6.0上,采用Verilog硬件描述语言对QDPSK调制解调系统实现了时序仿真和综合仿真。

    标签: QDPSK FPGA 调制 解调技术

    上传时间: 2013-07-21

    上传用户:moonkoo7

  • 基于FPGA的调制解调器

    当今电子系统的设计是以大规模FPGA为物理载体的系统芯片的设计,基于FPGA的片上系统可称为可编程片上系统(SOPC)。SOPC的设计是以知识产权核(IPCore)为基础,以硬件描述语言为主要设计手段,借助以计算机为平台的EDA工具进行的。 本文在介绍了FPGA与SOPC相关技术的基础上,给出了SOPC技术开发调制解调器的方案。在分析设计软件Matlab/DSP(Digital Signal Processing)。builder以及Quartus Ⅱ开发软件进行SOPC(System On a Programmable Chip)设计流程后,依据调制解调算法提出了一种基于DSP Builder调制解调器的SOPC实现方案,模块化的设计方法大大缩短了调制解调器的开发周期。 在SOPC技术开发调制解调器的过程中,用MATLAB/Simulink的图形方式调用Altera DSP Builder和其他Simulink库中的图形模块(Block)进行系统建模,在Simulink中仿真通过后,利用DSP Builder将Simulink的模型文件(.mdl)转化成通用的硬件描述语言VHDL文件,从而避免了VHDL语言手动编写系统的烦琐过程,将精力集中于算法的优化上。 基于DSP Builder的开发功能,调制解调器电路中的低通滤波器可直接调用FIRIP Core,进一步提高了开发效率。 在进行编译、仿真调试成功后,经过QuartusⅡ将编译生成的编程文件下载到ALTERA公司Cyclone Ⅱ系列的FPGA芯片EP2C5F256C6,完成器件编程,从而给出了一种调制解调器的SOPC系统实现方案。

    标签: FPGA 调制解调器

    上传时间: 2013-05-28

    上传用户:koulian

  • 多种高效编码和调制技术

    本论文介绍了几种编码和调制技术的基本原理和课题的总体实现结构,重点分析和讨论了滚降系数可调的成形滤波、内插技术以及滤波器中乘法器、加法器的实现方法。通过外部控制器可对FPGA内部设计的多项参数进行设置,可支持32.000kbps~4.096Mbps范围内的多速率数据传输,适用于各种信道限带性能要求的传输系统。本论文使用一片FPGA芯片实现了信道编码(包括数据加扰、差分编码、卷积码、RS码、交织等)、多种调制方式(BPSK、QPSK、π/4-QPSK、TC8PSK、16QAM)、成形滤波器、多级内插、上变频器、具有连续/突发信号模式的数据源。将本论文的成果移植到某单位的信号源研制平台,基本上可以满足现阶段研制和维修解调设备对信号源的需求,因此具有较高的使用价值。

    标签: 编码 调制技术

    上传时间: 2013-07-26

    上传用户:feichengweoayauya

  • 数字音频广播中OFDM调制的研究与实现

    正交频分复用(OFDM)是一种无线环境下的高速传输技术,它使用一系列低速子载波并行传输数据,具有抗多径干扰的能力、能以很高的频谱利用率实现高速数据传输等优点。数字音频广播(DAB)系统中采用OFDM调制技术。 本文首先概述了OF'DM的基本原理和实现方法,分析了DAB中不同模式下OFDM调制的参数和特点。实现OFDM的核心技术是快速傅立叶变换(FFT)。本文在分析研究了多种FFT算法的基础上选择了最适合FPGA实现的,满足DAB系统中OFDM调制要求的FFT算法,即将2048点FFT分解为基-4和基-2混合基算法。 本文研究重点是使用FPGA实现2048点复数FFT处理器。2048点FFT由五级基-4运算和一级基-2运算组成。针对这一算法以及FPGA特点,进行系统结构设计、各个模块设计、FPGA实现和测试。一个基-4和基-2复用的蝶形运算模块是整个FFT处理器的核心部分。此外系统还包括:系统控制模块,地址产生模块,RAM和ROM。本文特别针对2048点按频率抽取基-4/2顺序处理的FFT处理器提出了一种巧妙的数据地址和旋转因子地址生成的方法。 仿真和验证表明,运算的结果可以达到一定的精度要求,运算速度满足系统要求,说明该OFDM调制器的设计是可行的,可以应用于DAB系统中

    标签: OFDM 数字音频广播 调制

    上传时间: 2013-06-04

    上传用户:star_in_rain

  • 基于FPGA的直扩调制解调器

    扩频通信系统与常规的通信系统相比,具有很强的抗窄带干扰,抗多径干扰,抗人为干扰的能力,并具有信息隐蔽、多址保密通信等优点。在近年来得到了迅速的发展。本论文主要讨论和实现了基于FPGA的直接序列扩频信号的解扩解调处理。论文对该直扩通信系统和FPGA设计方法进行了相关研究,最后用Altera公司的最新的FPGA开发平台Quarus Ⅱ5.0实现了相关设计。 整个系统分为两个部分,发送部分和接收部分。发送部分主要有串并转换、差分卷积编码、PN码扩频、QPSK调制、成型滤波等模块。接收部分主要有前端抗干扰、数字下变频、解扩解调等模块。 论文首先介绍了扩频通信系统的特点以及相关技术的国内外发展现状,并介绍了本论文的研究思路和内容。 然后,论文分析了几种常用的窄带干扰抑制、载波同步及PN码同步算法,结合实际需要,设计了一种零中频DSSS解调解扩方案。给出了抗窄带干扰、PN码捕获及跟踪以及载波同步的算法分析,采用了基于数字外差调制的自适应陷波器来进行前端窄带干扰抑制处理,用基于自适应门限技术的滑动相关捕获和分时复用单相关器跟踪来改善PN码同步的性能,用基于硬判决的COSTAS(科斯塔斯)环来减少载波提取的算法复杂度,用改进型CORDIC算法实现NCO来方便的进行扩展。 接着,论文给出了系统总体设计和发送及接受子系统的各个功能模块的实现分析以及在Quartus Ⅱ5.0上的实现细节,给出了仿真结果。 然后论文介绍了整个系统的硬件电路设计和它在真实系统中连机调试所得到的测试结果,结果表明该系统具有性能稳定,灵活性好,生产调试容易,体积小,便于升级等特点并且达到课题各项指标的要求。 最后是对论文工作的一些总结和对今后工作的展望。

    标签: FPGA 调制解调器

    上传时间: 2013-07-04

    上传用户:yd19890720

  • 基于QPSK调制的扩频系统的FPGA实现

    QPSK是一种线性窄带数字调制技术,具有频谱利用率高、频谱特性好、抗衰落性能强和可用非相干解调等特点。扩频通信是从军事通信中发展起来的一种高性能通信技术,具有抗干扰、抗多径能力强和保密性好等优点,在移动通信和卫星通信中得到广泛应用。所以将QPSK技术应用亍扩频通信具有重要的工程意义。 本文对QPSK调制的扩频系统的FPGA实现进行了研究。本文介绍了扩频通信的原理及发展现状,并对QPSK调制的原理进行了详细阐述。本文设计的扩频通信系统主要包括串并/并串转换、差分编/解码、DDS、扩频/解扩、QPSK调制/解调等模块,基于Altera公司的Quartus Ⅱ 4.1开发平台对以上各模块进行了设计和时序仿真.仿真结果证明:该系统能正确工作,完成了预定的目标。 本文设计的基于FPGA的扩频通信系统具有集成度高、可软件升级等优点,这为设计更高集成度和灵活性的通信系统提供了基础。

    标签: QPSK FPGA 调制 扩频系统

    上传时间: 2013-06-18

    上传用户:zzy7826

  • QAM调制解调技术研究及其FPGA实现

    QAM调制解调技术研究及其FPGA实现QAM调制解调技术研究及其FPGA实现

    标签: FPGA QAM 调制解调 技术研究

    上传时间: 2013-07-14

    上传用户:924484786

  • QPSK调制解调器的设计及FPGA实现

    QPSK调制具有频谱利用率高、传输速率快、抗干扰性能强、频谱特性好等突出特点,在移动通信、卫星通信中得到了广泛应用。因此,基于FPGA的全数字QPSK调制解调的研究具有重要的意义。本文介绍了QPSK调制解调技术的现状,对QPS...

    标签: QPSK FPGA 调制解调器

    上传时间: 2013-07-18

    上传用户:580231

  • 四路DVBC调制器的设计

    随着数字时代的到来,信息化程度的不断提高,人们相互之间的信息和数据交换日益增加。正交幅度调制器(QAM Modulator)作为一种高频谱利用率的数字调制方式,在数字电视广播、固定宽带无线接入、卫星通信、数字微波传输等宽带通信领域得到了广泛应用。 近年来,集成电路和数字通信技术飞速发展,FPGA作为集成度高、使用方便、代码可移植性等优点的通用逻辑开发芯片,在电子设计行业深受欢迎,市场占有率不断攀升。本文研究基于FPGA与AD9857实现四路QAM调制的全过程。FPGA实现信源处理、信道编码输出四路基带I/Q信号,AD9857实现对四路I/Q信号的调制,输出中频信号。本文具体内容总结如下: 1.介绍国内数字电视发展状况、国内国际的数字电视标准,并详细介绍国内有线电视的系统组成及QAM调制器的发展过程。 2.研究了QAM调制原理,其中包括信源编码、TS流标准格式转换、信道编码的原理及AD9857的工作原理等。并着重研究了信道编码过程,包括能量扩散、RS编码、数据交织、星座映射与差分编码等。 3.深入研究了基于FPAG与AD9857电路设计,其中包括详细研究了FPGA与AD9857的电路设计、在allegro下的PCB设计及光绘文件的制作,并做成成品。 4.简单介绍了FPGA的开发流程。 5.深入研究了基于FPAG代码开发,其中主要包括I2C接口实现,ASI到SPI的转换,信道编码中的TS流包处理、能量扩散、RS编码、数据交织、星座映射与差分编码的实现及AD9857的FPGA控制使其实现四路QAM的调制。 6.介绍代码测试、电路测试及系统指标测试。 最终系统指标测试表明基于FPGA与AD9857的四路DVB-C调制器基本达到了国标的要求。

    标签: DVBC 调制

    上传时间: 2013-07-05

    上传用户:leehom61