虫虫首页|资源下载|资源专辑|精品软件
登录|注册

调制

调制在生物化学与分子生物学中有以下几个意义:(1)细胞分化和功能状态的可逆改变。(2)生物活性物质对细胞的调节作用。(3)细胞(主要是免疫活性细胞)受生物活性物质(如细胞因子)作用而发生的功能性变化。(4)特异基因的转录频率的调节。(5)由密码子造成信使核糖核酸(mRNA)翻译速率减低的调节。(6)效应物对调节酶的控制。在通信科技中是指有意或无意地使表征一振荡或波的量随着一信号或另一振荡或波的变化而变化的过程。
  • 基于FPGA的DMBT信道调制的设计研究

    随着科技的发展和社会的进步,数字电视已逐渐成为现代电视的主流。利用今年是奥运年的契机,研究和推广数字电视广播具有重大的意义。2006年8月底我国出台的数字多媒体/电视广播(DMB-T)标准,确立了中国自己的技术标准。以此来发展拥有自主知识产权的数字电视事业,不仅可以满足广大人民群众日益增长的物质、文化要求,还可以带动相关产业快速发展。 本课题在深入研究DMB-T国家标准的基础上,首先对系统的调制系统进行了设计规划,然后对信道调制的星座映射、系统信息插入、帧体数据处理、PN序列插入的帧形成模块和成形滤波模块进行了设计和仿真,并验证了其正确性。 3780个子载波的时域同步正交多载波技术(TDS-OFDM)是DMB-T调制系统的关键技术之一。由于载波数不是2的整数次幂,考虑到实现的有效性,不能采用现已成熟的基-2或基-4的快速傅立叶变换(FFT)算法。针对调制系统中特有的3780点IFFT,课题深入分析和比较了Cooley-Tukey、Winograd和素因子三种离散快速傅立叶变换算法的特点和性能,综合利用了三种算法优势,考虑了算法的复杂度、运算的速度、资源的消耗,设计出一种新的算法,进行了Matlab验证和基于FPGA(现场可编程门阵列)的仿真。分析表明,该算法所需的加法、乘法次数已很逼近4096点FFT算法。 DMB-T发射端的基带成形滤波采用了平方根升余弦滚降滤波,由于其0.05的滚降系数在实现中比较苛刻,所以是设计的难点之一。本课题利用Matlab工具采用了等纹波最优滤波的方法设计了169阶数字滤波器,其阻带衰减达到了46.9dB,完全符合标准的要求;利用四倍插值的方法实现了I、Q合路的该滤波器的FPGA设计,并进行了设计优化,显著降低了滤波器的运算量,大大节约了实现该滤波器所需的乘法器资源。

    标签: FPGA DMBT 信道 调制

    上传时间: 2013-06-28

    上传用户:camelcamel690

  • 基于MATLAB 7.0的信号调制与解调分析

    基于MATLAB 7.0的信号调制与解调分析

    标签: MATLAB 7.0 信号 调制与解调

    上传时间: 2013-07-21

    上传用户:user08x

  • 基于FPGA的π4DQPSK调制解调技术

    本文的设计采用FPGA来实现π/4DQPSK调制解调。采用π/4DQPSK的调制解调方式是基于频带利用率、误比特率(即抗噪性)和实现复杂性等综合因素的考虑;采用FPGA进行实现是考虑到高速的数据处理以及AD和DA的高速采样。 本课题主要包含以下几个方面的研究: 首先对π/4DQPSK技术的应用发展情况做简单介绍,并对其调制解调原理进行了详细的阐述。在理解原理的基础上,将调制解调进行模块化划分,提出了实现的思路和方法。其中包括串并转换,差分相位编码,内插,成形滤波器,正交调制,带通滤波器及希尔伯特变换,解调,位同步,载波同步,差分相位解码。 其次在FPGA上实现了π/4DQPSK的大部分模块。其中调制端的各个模块的功能都已经实现,并综合在一起,下载到开发板上进行了在线仿真。其中成形滤波器的设计大大降低了FPGA的资源开销,是本次设计的创新;解调端对载波同步和位同步提出了设计思路,具体的实现还需要进一步的研究;接口电路的测试和在线仿真已经完成。 最后提出了硬件实现的方案以及三种芯片的选型与设计,给出了简要的电路图和时序图。

    标签: 4DQPSK FPGA 调制 解调技术

    上传时间: 2013-08-03

    上传用户:fzy309228829

  • 基于FPGA的OFDM调制解调器的设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-04-24

    上传用户:vaidya1bond007b1

  • 基于FPGA的OQPSK调制解调器

    偏移正交相移键控(OQPSK:Offset Quadrature Phase Shift Keying)调制技术是一种恒包络调制技术,具有频谱利用率高、频谱特性好等特点,广泛应用于卫星通信和移动通信领域。 论文以某型侦收设备中OQPSK解调器的全数字化为研究背景,设计并实现了基于FPGA的全数字OQPSK调制解调器,其中调制器主要用于仿真未知信号,作为测试信号源。论文研究了全数字OQPSK调制解调的基本算法,包括成形滤波器、NCO模型、载波恢复、定时恢复等;完成了整个调制解调算法的MATLAB仿真。在此基础上,采用VHDL硬件描述语言在Xilinx公司ISE7.1开发环境下设计并实现了各个算法模块,并在硬件平台上加以实现。通过实际现场测试,实现了对所侦收信号的正确解调。论文还实现了解调器的百兆以太网接口,使得系统可以方便地将解调数据发送给计算机进行后续处理。

    标签: OQPSK FPGA 调制解调器

    上传时间: 2013-05-18

    上传用户:zl123!@#

  • 基于FPGA的QAM调制解调技术研究

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调 技术研究

    上传时间: 2013-06-11

    上传用户:q123321

  • 基于FPGA的短波数字信号调制解调

    在卫星通信、移动通信技术快速发展的今天,短波这一最古老和传统的通信方式不仅没有被淘汰,还在快速发展。其通信距离远、设备简单以及移动方便等优点被广泛应用于无线通信领域。 数字调制技术作为通信领域中极为重要的一个方面,也得到了迅速发展。全数字调制解调技术的使用使各类现代调制解调技术融合一体,目前国内多速率/多制式调制解调大多基于通用.DSP实现,支持的速率比较低。由于运算量大和硬件参数的限制,采用通用DSP无法胜任高速率调制解调的任务。现代FPGA可以提供支持以低系统丌销、低成本实现高速乘.累加超前进位链的DSP算法。本文采用理论与实践相结合的方式研究基于FPGA技术来实现短波数字信号的调制解调。通过对具体的FPGA系统设计与调试,将理论应用到实际中。 本文通过具体的EPlC60240C8芯片作为处理器的FPGA实验板,研究了短波数字信号调制解调的设计与丌发过程。分析了现代通信的各种调制方式.误码率。得出了不同的调制方式的优劣性。最后重点提出了QPSK的调制解调方法。给出了Qf'SK的调制解调框图、QPSK的SystemView系统仿真、VHDL程序进行调制解调,在OUARTUS上进行仿真。然后设计AD/DA输入输出电路,对短波数字信号进行调制解调。通过设计的AD/DA电路输入短波数字信号进行调制解调,然后输出原始的模拟信号。文中还对比了其他的调制解调方式,通过对比,发现不同的调制解调方式对短波信号的影响。最后,通过比较FPGA与DSP在处理高速率、大容量的数字信号,得出不同的结论。展示了FPGA在这方面的优越性。

    标签: FPGA 短波 数字信号 调制解调

    上传时间: 2013-06-05

    上传用户:362279997

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • 基于FPGA实现OFDM基带调制系统

    本文对OFDM基带调制解调系统的:FPGA设计进行了研究和论述,重点实现其中的RS码编、译码模块和基带成形滤波器模块。本文首先介绍了OFDM调制的原理和OFDM基带调制解调系统的总体设计,以及FPGA设计的基本原则。接着介绍了RS码的编码原理和时域迭代译码算法,在此基础上设计实现RS码编码器和译码器。然后介绍了成形滤波的原理和多种实现成形滤波器的结构,采用多相结构设计实现了平方根升余弦滚降滤波器。

    标签: FPGA OFDM 基带 调制系统

    上传时间: 2013-06-10

    上传用户:TF2015

  • 连续相位调制研究及其解调算法

    本文主要研究了近年来发展很快的一种高效的调制技术——连续相位调制(CPM)。与其它调制技术相比,它具有较高的带宽和功率利用率,这也令它在通信资源日益紧张的今天得到了越来越多的关注。CPM信号包含大量的信号形式,它们的共同特点是信号包络恒定、相位连续,尤其适合于无线通信。 本文首先介绍了CPM信号的一般表达式及其功率谱密度公式,在此基础上对CPM信号特性做了分析研究,并对其功率谱密度进行了计算机仿真,分析得出了CPM信号各调制参数的取值对其谱特性的影响;然后对CPM信号的各种解调方法进行了深入研究,对不同方法的解调性能作了仿真,通过比较分析得出解调性能、调制参数与系统实现复杂度之间相互制约的关系;最后,在前面分析研究的基础上,完成了一个实际通信系统中信号检测算法的。FPGA实现。

    标签: 相位调制 解调算法

    上传时间: 2013-05-29

    上传用户:baiom